fpga verilog 流水灯

verilog 流水灯试验
原理图
在这里插入图片描述
ledloop.v

module ledloop(
  input wire clk,
  output wire[3:0] LED 
);
localparam OSC = 32'd50_000_000;

  reg[31:0] cnt = 32'h00000000;
  reg[31:0] scnt = 32'h00000000;

  always @(posedge clk)
    if (cnt == OSC) begin
      cnt <= 32'h000000000;
      scnt <= scnt+1;
    end else
    	cnt <= cnt + 1;
    	
  assign LED = 4'b0001 << scnt[1:0];
                                                                                                                             
  endmodule

约束
ledloop.sdc

create_clock -name clk -period "50MHz" [get_ports clk]
set_false_path -from * -to [get_ports LED[0]]
set_false_path -from * -to [get_ports LED[1]]
set_false_path -from * -to [get_ports LED[2]]
set_false_path -from * -to [get_ports LED[3]]

50M晶振,1秒移一位。

资源占用
在这里插入图片描述

rtl
在这里插入图片描述

  • 14
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

yvee

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值