FPGA实战1-流水灯实验verilog

1.实验要求

1)设计一个流水灯的实验,实现12位流水灯的依次点亮,
(2)流水灯的流转时间是(500ms/2Hz),
(3)系统时钟位50MHz,
(4)定义12个寄存器ledtemp保存12个状态,
(5)寄存器的初始值位12'b0000_0000_0001,
(6)当移位到12‘b1000_0000_0000时,ledtemp的值回到12'b0000_0000_0001,

2.设计代码

//coding
//首先定义输入输出
module lsd(
	input clk,
	output [11:0] led
); 

//然后定义寄存器
reg [11:0] ledtemp = 12'b0000_0000_0001;
reg [23:0] cnt = 24'b0;
reg divclk = 0;

assign led = ledtemp;

parameter half_cntvalue = 12500000;

//然后进行分频
always @ (posedge clk)begin
	if(cnt == half_cntvalue)begin
		divclk <= ~divclk;
		cnt <= 24'b0;
		end
	else
		cnt <= cnt + 1'b1;
end

//最后进行左移一位
always @ (posedge divclk)begin
	if(ledtemp == 12'b1000_0000_0000)
		ledtemp <= 12'b0000_0000_0001;
	else
		ledtemp <= ledtemp << 1;
end

endmodule

3.仿真实现

//仿真
module sim_lsd();
reg clk;
wire [11:0] led;

lsd u1(
	.clk (clk),
	.led (led)
);

initial begin
	clk = 0;
end

always #10 clk = ~clk;

endmodule
  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

马志高

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值