SpinalHDL之仿真(六)

本文作为SpinalHDL学习笔记第三十四篇,介绍SpinalHDL仿真器的具体细节。

目录:

1.SpinalHDL 如何使用 Verilator 后端进行硬件仿真

2.SpinalHDL 如何使用 GHDL/Icarus Verilog 后端进行硬件仿真
3.SpinalHDL 如何使用 Synopsys VCS 后端进行硬件仿真

4.SpinalHDL 如何使用 Xilinx XSim 后端进行硬件仿真

5.性能

1.SpinalHDL 如何使用 Verilator 后端进行硬件仿真

1. SpinalHDL 在后台生成 DUT 的 Verilog 等效硬件模型,然后使用 Verilator 将其转换为 C++ 的周期精确模型。
2. C++ 模型被编译为共享对象 (.so),该对象通过 JNI-FFI 绑定到 Scala。
3. 通过提供多线程仿真 API 来抽象原始的 Verilator API。
优点:
• 由于 Verilator 后端使用编译的 C++ 仿真模型,因此与大多数其他商业和免费模拟器相比,仿真速度很快。
<

  • 21
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

千穹凌帝

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值