modelsim 仿真的时候输出是高阻解决方法

  1. 位宽问题,在源文件和test.v文件相对应的信号都要设置同样的位宽,同时需要在赋值的时候,也要注意<= 两边的信号或者值的位宽是否是一致。
  2. 在源文件里面定义的所有输入,在test.v文件里面都要有相应的初始化,如果有相应的输入信号不需要用,就要记得删除。如果在源文件里面存在,但在test.v文件里面没有初始化和定义的话,仿真出来也会出现高阻态的。
    祝找到这篇文章的你能够解决问题,万事顺利,你的福气还在后头!!
    在这里插入图片描述
  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值