IIC详解

一、IIC简介

IIC是一种串行、半双工总线,主要用于近距离、低速的芯片之间的通信。有两根信号线,一根为SCL(时钟线),一根为SDA(数据线) 。
IIC是一种多主机总线,连接在IIC总线上的器件分为主机和从机,主机有权发起和结束一次通信,而从机只能被呼叫;当总线上有多个主机同时启用总线时,IIC也具备冲突检测和仲裁的功能来防止错误产生;每个连接到IIC总线上的器件都有唯一的地址(7bit),并且每个器件都可以作为主机和从机;IIC总线在通信时总线上发送数据的为发送器,接受数据的为接受器。

二、IIC总线通信过程

1、主机发出起始信号占用总线
2、主机发送一个字节的数据指明从机地址和后续字节的传送方向
3、被寻址的从机发送应答信号回应主机
4、发送器发送一个字节的数据
5、接受器发送应答信号
重复步骤4、5
最后由发送器发送停止信号释放总线。
在步骤2时,发送的一个字节数据,高7位为器件ID,最后一位为数据的发送方向。0为主机发送到从机,1为从机发送到主机。此步骤发送的数据所有器件都可以收到,并和自己ID进行比对。

三、IIC典型信号

1、起始信号SCL为高电平,SDA由高变低表示起始信号。
在这里插入图片描述
代码如下:

void IIC_Start(){
   
	SDA = 1;//先拉高SDA
	delay_ms(5);
	SCL = 1;//再拉高SCL
	SDA = 0;
	delay(5);
	SCL = 0;//钳住总线,准备发送或接收数据
}

2、结束信号,SCL为高电平时,SDA由低变高表示停止信号。
在这里插入图片描述

void IIC_Stop(){
   
	SCL = 0;
	SDA = 0;
	delay_us(5);
	SCL = 1;
	delay_us(5);
	SDA = 1;
	delay_us(5
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
IIC(Inter-Integrated Circuit)是一种串行通信总线协议,用于在集成电路之间进行通信。它具有简单、高效、可靠的特点,广泛应用于各种电子设备中。 根据引用\[2\]中的内容,IIC总线协议包括以下几个步骤: 1. 起始状态和结束状态:通信开始时,主机发送起始信号,然后发送设备地址和读/写位。通信结束时,主机发送停止信号。 2. 数据传输:主机通过IIC总线向从机写入数据时,将数据发送到从机的地址中。主机从机读取数据时,从机将数据发送到总线上。 3. 应答信号:在每个数据字节传输后,接收方会发送应答信号,表示是否成功接收数据。 根据引用\[1\]和引用\[3\]中的代码,可以看出顶层模块`iic_send_top`和`iic_recv_top`分别用于发送和接收数据。这些模块通过IIC总线与设备进行通信,并通过时钟信号和数据线进行数据传输。 综上所述,IIC verilog详解主要包括IIC总线协议的特点、通信步骤和数据传输方式,以及通过Verilog代码实现IIC发送和接收功能的设计思路和接口定义。 #### 引用[.reference_title] - *1* *2* *3* [IIC总线的原理与Verilog实现](https://blog.csdn.net/qq_38695100/article/details/119153048)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值