SV DPI-C scope理解

某些DPI导入的任务或函数或其他从它们调用的接口函数要求知道其调用的上下文。 DPI导出SystemVerilog任务和函数的上下文在调用时必须是已知的,包括何时通过导入调用它们。 当导入在调用导出之前调用svSetScope实用程序时,它将显式设置上下文。 否则,上下文将是导入声明所在的实例化范围的上下文。

如果在实例化范围的上下文scope中找不到对应的sv任务或者函数,VCS仿真器会报如下错误。


参考tb如下:



c代码如下:


Makefile如下:


运行上述tb,仿真结果如下:


将test.c中的41行comment掉,将42行uncomment。


仿真结果如下:


由于是从test1 scope中查找的sv export_fun函数,所以仿真log中b=4, a在test中,初始值为0,且没有传递新的值

所以a=0.

我的理解是,假如几个模块或者package都提供了可导出的SystemVerilog任务和函数,供c调用,且函数的名字一样,那么可以通过设置scope,来切换具体调用哪个模块或者package下的sv函数。

  • 6
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值