防止信号被编译器优化

http://www.openhw.org/module/forum/thread-644643-1-1.html

         Place the Verilog constraint immediately before the module or instantiation .

          Specify the Verilog constraint as follows:

          (* KEEP = “{TRUE|FALSE |SOFT}” *)

 

假如我们要观察的一个信号cnt:reg   [10:0]  cnt;,那么就按照文档中的介绍,要保持此信号不被综合,则:

    (* KEEP =  “TRUE” *)  reg  [10:0]  cnt ,或者     (* keep=  “true” *)  reg  [10:0]  cnt   

这样就可以实现ChipScope的观察而不被优化掉了。类似的VHDL:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值