vivado使用笔记(1)--防止信号被综合优化dont touch

发现综合后一个模块一直被优化掉,学习了ug901文档,有相关的描述:
DONT_TOUCH Verilog Examples
信号上防止被优化
Verilog Wire Example
(* dont_touch = “yes” *) wire sig1;
assign sig1 = in1 & in2;
assign out1 = sig1 & in2;

模块上防止被优化
Verilog Module Example 
(* DONT_TOUCH = “yes” *) module example_dt_ver(clk,In1,In2,out1);

例化模块上防止被优化
Verilog Instance Example(* DONT_TOUCH = “yes” *) example_dt_ver U0 (.clk(clk), .in1(a), .in2(b), out1(c));Send Feedback
  • 7
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值