uvm sequence发送transaction的几种方法

1.
start_item(tr); 
                //sequencer.wait_for_grant(prior);
                //parent_seq.mid_do(item);
finish_item(tr);
                //parent_seq.mid_do(item);
                //sequencer.send_requst(item);
                //sequencer.wait_for_item_done(); 
                //parent_seq.post_do(item);

2.
`uvm_create(tr)
`uvm_send(tr)

3.
`uvm_do(tr)

其中只有第3是包含实例化和随机化的,2是包含实例化的,1既不包含实例化,也不包含随机化。

对1来说,需要在finish_item之前随机化完成。

对于2来说,需要在create和send之间随机化完成。

对于2来说,有一些需要更多参数的宏定义,例如

`uvm_rand_send(SEQ_OR_ITEM)

`uvm_rand_send_pri(SEQ_OR_ITEM,PRIORITY)

`uvm_rand_send_with(SEQ_OR_ITEM,CONS)

`uvm_rand_send_pri_with(SEQ_OR_ITEM,PRIORITY,CONS)

  • 8
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值