uvm中m_sequencer和p_sequencer

sequence的启动方式有两种,一种是直接用start(指定sequencer)显示启动,一种是设置好default sequencer, 按照指定的格式。无论是哪种方式,都已经决定了,一个sequence的启动sequencer。那么m_sequencer就是这个默认sequencer的指针,嵌套sequence的时候,启动不同的sequence可以start(m_sequencer),但是如果想直接获得sequencer里面的成员变量,则无法直接用m_sequencer.a的方式获得,原因是m_sequencer的类型并不是sequence对应的sequencer,而是,uvm_sequencer_base,因此,如果想引用m_sequencer里的成员变量必须做类型转换$cast(),uvm已经实现了这个功能,只需要声明uvm_declare_p_seqencer(my_sequencer),就自动将m_sequencer转换成p_sequencer,可以在sequence里可以使用p_sequencer的成员变量,也即是my_sequencer的成员变量。

总结,m_sequencer用在sequence用start方式启动的时候,是sequence指定的sequencer的指针(嵌套),但是如果想引用自己sequencer的成员变量,就用p_sequencer.a的方式,用之前要用宏声明。

  • 3
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值