Vivado Logic Analyzer中VIO核的使用

1 篇文章 0 订阅
1 篇文章 0 订阅
<div id="article_content" class="article_content tracking-ad" data-mod="popu_307" data-dsm="post">


<p>ChipScope有两种使用的方式:cdc和IP Core。由于VIO的IP只能通过IP的方式来使用,所以IP的方式ChipScope中有重要的意义。 </p>
<p>同样,Vivado中的VIO也只能通过IP的方式来使用。本文使用Digilent的Nexys-4板卡来探讨VIO的使用。关于Nexys-4的信息,请参考<a target="_blank" href="http://www.digilentchina.com/product-more.asp?ClassId=1&amp;Unid=306">http://www.digilentchina.com/product-more.asp?ClassId=1&amp;Unid=306</a>
</p>
<p><br>
</p>
<p><a target="_blank" href="http://files.chinaaet.com/images/blog/2014/09/29/4551952867679.png"><img src="http://files.chinaaet.com/images/blog/2014/09/29/4551952867679_thumbs.png" alt=""></a></p>
<p><br>
</p>
<p>在设计中添加16个LED和16个开关的端口,并连接到VIO中去。生成bit文件后下载到板子上,在HardwareManager中额可以看到VIO的控制界面。
</p>
<img src="http://files.chinaaet.com/images/blog/2014/09/29/4552965468863.png" alt="">
<p>在Debug Probes中可以看到,这个设计包含了一个ILA和一个VIO的IP。 </p>
<img src="http://files.chinaaet.com/images/blog/2014/09/29/4553255931915.png" alt="">
<p>在VIO的控制界面中,可以看到所有连接到VIO的端口,分为输入和输出两个部分。 </p>
<img src="http://files.chinaaet.com/images/blog/2014/09/29/4553627373098.png" alt="">
<p>首先看输出,将16个LED调整为按键模式,然后如上图所示将部分信号置为高电平。 </p>
<a target="_blank" href="http://files.chinaaet.com/images/blog/2014/09/29/4553866991691.png"><img src="http://files.chinaaet.com/images/blog/2014/09/29/4553866991691_thumbs.png" alt=""></a>
<p>可以看到相对应的LED被点亮。 </p>
<p>下面是input,将部分开关置为高电平,并观察VIO界面中的输入部分。<a target="_blank" href="http://files.chinaaet.com/images/blog/2014/09/29/4554471027559.png"><img src="http://files.chinaaet.com/images/blog/2014/09/29/4554471027559_thumbs.png" alt=""></a><img src="http://files.chinaaet.com/images/blog/2014/09/29/4554485691938.png" alt="">
</p>
<p><br>
</p>
<p>可以看到相关的信号已经被置为高电平。 </p>
<p>在ChipScope中,ICON是一个重要的IP,IP例化ILA和VIO的时候,都需要通过ICON。 </p>
<p>通过对ICON的调用,可以很好的利用JTAG来连接ILA和VIO。但是,在Vivdao中,这个IP被去掉了,取而代之的是Vivado自动添加的dbg_hub。这样就不能很好地控制JTAG的BSCAN,这可以说是Vivado的一个很大的损失。
</p>
<p>不过,既然dbg_hub是自动添加的。那么理论上,对ILA和VIO端口的识别也是自动的。ChipScope中需要手动添加ICON,导致两种使用ChipScope的方式无法共存,尤其是在使用VIO的时候,无法使用cdc文件。在Vivado中,是否可以共存呢?
</p>
在已经添加ILA和VIO IP的设计中,打开综合结果,找到相关的信号,设置debug标示。然后在Set Up Debug中可以发现,被连接到ILA IP和VIO IP的信号可以一并被识别出来。修改后如下图所示
<p><a target="_blank" href="http://files.chinaaet.com/images/blog/2014/09/29/4555097216168.png"><img src="http://files.chinaaet.com/images/blog/2014/09/29/4555097216168_thumbs.png" alt=""></a></p>
<p>设置完成之后,发现界面提示生成了一个debug的core,即一个ILA。</p>
<p><a target="_blank" href="http://files.chinaaet.com/images/blog/2014/09/29/4555402976167.png"><img src="http://files.chinaaet.com/images/blog/2014/09/29/4555402976167_thumbs.png" alt=""></a>
</p>
<p>从布局布线的结果来看,Vivado在ILA和VIO IP的基础上,又增加了一个ILA。 </p>
<a target="_blank" href="http://files.chinaaet.com/images/blog/2014/09/29/4555728706750.png"><img src="http://files.chinaaet.com/images/blog/2014/09/29/4555728706750_thumbs.png" alt=""></a>
<p>同时,打开Debug Probes,可以看到两个ILA存在于设计中。 </p>
<img src="http://files.chinaaet.com/images/blog/2014/09/29/4555887987035.png" alt="">
<p>可以看到,由于Vivado可以自动添加dbg_hub,所以可以让工具自动连接相关的IP,让两种使用VivadoLogic Analyzer的方法可以混合使用。这极大的方便了VIO的使用。
</p>
<p>虽然Vivado确实了ICON,无法对JTAGBSCAN进行更多的控制,对于一些高级使用有些不便,但自动的dbg_hub功能确实方便了使用,应该算是一个进步。
</p>
   
</div>
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值