Vivado中VIO核使用

前言
使用场景:在使用In system debug时需要使用按键触发查看相关信号,但不想用板子上的按键。
VIO:Virtual input output,即虚拟IO。
主要用作虚拟IO使用;VIO的输出可以控制模块的输入,VIO的输入可以显示模块的输出值。
 
连接如下图所示:
假设有一个模块的复位信号,需要由自己控制,则可以使用VIO核进行相关控制;
 
流程
本次需要一个信号的控制,使用VIO核的流程如下所示。
1.例化一个VIO核:VIO的输出对应于模块的输入,此次只需要一个output probe Count。
 
2.设置vio输出端口的位宽与初始值:
 
3.在代码中插入例化,把VIO的输出口连接到模块的输入。
 
4.正常编译把bit文件和debug文件下载到FPGA中。
自动弹出的界面vio中右键信号进行设置toggle button。则此信号就可以按压button进行控制了。
 
以上。
 
 
 
 
 
 

转载于:https://www.cnblogs.com/kingstacker/p/9810704.html

  • 2
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值