Verilog讨论组精彩内容摘录

问题:  我遇到了一个问题,希望能得到帮助。
  我在用FPGA(ALTERA 10K30)做仿真实验时,内部的计数器总是计数不正常,但是我在微机中用ModelSim仿真的结果是正确的,所以逻辑应该没有问题,问题出在FPGA,请教各位,我该如何解决这个问题。谢谢!
  I met a question,hope someone could do me a favor.
  when I used FPGA do simulating experiment, i found the inner counter worked abnormally.but if i use ModelSim do such a experiment,everything is ok.so i confirm the problem should be due to FPGA.
  who can tell me how to deal with this problem? thanks a lot!

 

 



回答一:
  Have you checked clock signal on scope? Those device really a good clock waveform.

 

回答二:
  你用的片子不会有问题吧,检查有么有问题可以只做一个计数器看看计数对不对不就的了.我觉得应该是片子的问题.

回答三:
  首先请检查FPGA的使用方法!

回答四:
  我觉得片子有问题的可能性比较小,还是应该先找自己的原因,否则我们一出现问题就把责任说成是芯片的问题,那么问题就不好解决了。而且10K30国内也很多人在用,如果连一个计数器都出错的话,我看ALTERA也就没什么市场了。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值