功能覆盖率与代码覆盖率区别

功能覆盖率与代码覆盖率区别

Functional coverage vs Code coverage

Code coverage as the name implies measure the coverage across the code . This gives an indication of how well the code is tested by your stimulus. The information is normally collected by simulation tools and the user can analysis and improve their stimulus. Code coverage is normally classified as following types:

  1. Line/Statement coverage - Number of lines/statements executed
  2. Expression coverage - Various input combinations in a logical expression executed
  3. Branch/Condition coverage - Branches or conditions executed
  4. Toggle coverage - Signals/nets toggled
  5. FSM Coverage - State transitions in state machine logic.

Functional Coverage is a way in which a user writes certain instrumentation logic to monitor how well the stimulus is covering various functionality. Typically in SystemVerilog language, a user can use the coverage constructs to write cover points and bins and during simulation, the tools will monitor for coverage of these events.

Functional coverage is more important in a random testing (constrained random verification) to measure the quality of stimulus and completeness. Functionality and interesting design points are monitored using functional cover points and coverage tells how well those are covered.

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值