【19】时序逻辑 - VL21/VL22 根据状态转移表 和 状态转移图写STG程序

先来看一下VL21的题目吧

在这里插入图片描述
算了,看不懂,先做下一题

VL22 根据【状态转移图】实现时序电路

最想说的是,这个题目也叫做 【较难】 等级,有点点不适应来。感觉就是信手拈来的哇!!!

1 题目 + 代码 + TestBench在这里插入图片描述

代码

`timescale 1ns/1ns

module seq_circuit(
   input                C   ,
   input                clk ,
   input                rst_n
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值