【29】Verilog进阶 - 计数器

这篇博客介绍了Verilog中的计数器实现,包括简易秒表、可置位计数器和加减计数器。在加减计数器部分,作者详细分析了波形提前一个clk周期的问题以及减法模式下的溢出问题,并通过逐步调试和改进代码解决了这些问题。
摘要由CSDN通过智能技术生成

VL50 简易秒表

一把过,爽!!

1 代码

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,

    output reg [5:0]second,
    output reg [5:0]minute
	)
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值