【26】Verilog进阶 - 自动贩卖机【SO EASY】

本文介绍了使用Verilog设计自动贩卖机的两个实例,包括处理0.5/1/2元货币找零和0.5/1元货币购买两种饮料价格的场景。每个设计都包含题目描述、代码实现,并关注货币边沿检测和低电平复位功能。
摘要由CSDN通过智能技术生成

VL38 自动贩售机1

一把过,没啥说的

1 题目

(1)题目描述:
设计一个自动贩售机,输入货币有三种,为0.5/1/2元,饮料价格是1.5元,要求进行找零,找零只会支付0.5元。
ps:
投入的货币会自动经过边沿检测并输出一个在时钟上升沿到1,在下降沿到0的脉冲信号
注意rst为低电平复位
(2)信号示意图:
d1 0.5元
d2 1元
d3 2元
out1 饮料
out2 零钱在这里插入图片描述
(3)波形示意图
在这里插入图片描述

2 代码

`timescale 1ns/1ns
module seller1(
	input wire clk  <
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值