【26】Verilog进阶 - 自动贩卖机【SO EASY】

VL38 自动贩售机1

一把过,没啥说的

1 题目

(1)题目描述:
设计一个自动贩售机,输入货币有三种,为0.5/1/2元,饮料价格是1.5元,要求进行找零,找零只会支付0.5元。
ps:
投入的货币会自动经过边沿检测并输出一个在时钟上升沿到1,在下降沿到0的脉冲信号
注意rst为低电平复位
(2)信号示意图:
d1 0.5元
d2 1元
d3 2元
out1 饮料
out2 零钱在这里插入图片描述
(3)波形示意图
在这里插入图片描述

2 代码

`timescale 1ns/1ns
module seller1(
	input wire clk  
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
自动贩卖机找零是指在用户购买商品后,自动贩卖机根据用户支付的金额进行计算,并返回合适的零钱。对于这个问题,我们可以用Verilog语言来实现。 首先,我们需要定义自动贩卖机的输入输出接口。输入接口包括商品的价格以及用户支付的金额,输出接口包括需要返回的零钱。接口可以通过模块的端口定义来实现。 然后,我们需要定义自动贩卖机的状态和逻辑。自动贩卖机的状态可以包括等待用户支付的状态、计算找零的状态以及返回零钱的状态。逻辑包括根据用户支付金额和商品价格计算应找的零钱以及返回零钱的控制逻辑。 在Verilog中,我们可以使用状态机来描述自动贩卖机的状态和逻辑。状态机可以使用always块来实现。通过检测输入信号和当前状态,我们可以根据状态转移规则来更新状态和输出信号。 根据输入的商品价格和用户支付金额,我们可以计算需要找零的金额。然后,我们可以通过将这个金额分解为合适的面值来实现找零。面值可以通过零钱盒的硬币数量来表示。如果存在足够数量的面值,我们可以将对应的面值数量减少,并通过输出信号告诉用户返回的零钱。 总结起来,通过Verilog语言描述自动贩卖机找零的过程可以分为三个步骤:定义输入输出接口、定义状态和逻辑以及实现找零过程。通过合适的状态和逻辑设计,我们可以实现一个功能完善且高效的自动贩卖机找零系统。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值