《微机原理与接口技术》复习参考资料一(1-3章)

第一章
概 述
一、计算机中的数制
1 、无符号数的表示方法:
1 )十进制计数的表示法
特点:以十为底,逢十进一;
共有 0-9 十个数字符号。
2 )二进制计数表示方法:
特点:以 2 为底,逢 2 进位;
只有 0 1 两个符号。
3 )十六进制数的表示法:
特点:以 16 为底,逢 16 进位;
0--9 A—F (表示 10~15 )共 16 个数字符号。
2 、各种数制之间的转换
1 )非十进制数到十进制数的转换
按相应进位计数制的权表达式展开,再按十进制求和。(见书本 1.2.3 1.2.4
2 )十进制数制转换为二进制数制
十进制 → 二进制的转换:
整数部分:除 2 取余;
小数部分:乘 2 取整。
十进制 → 十六进制的转换:
整数部分:除 16 取余;
小数部分:乘 16 取整。
以小数点为起点求得整数和小数的各个位
3 )二进制与十六进制数之间的转换
4 位二进制数表示 1 位十六进制数
3 、无符号数二进制的运算(见教材 P5
4 、二进制数的逻辑运算
特点:按位运算,无进借位
1 )与运算
只有 A B 变量皆为 1 时,与运算的结果就是 1
2 )或运算
A B 变量中,只要有一个为 1 ,或运算的结果就是 1
3 )非运算
4 )异或运算
A B 两个变量只要不同,异或运算的结果就是 1
二、计算机中的码制
1、对于符号数,机器数常用的表示方法有原码、反码和补码三种。数 X 的原码记作[X]
反码记作[X] ,补码记作[X] 补。
注意:对正数,三种表示法均相同。
它们的差别在于对负数的表示。
1 )原码
定义:
符号位: 0 表示正, 1 表示负;
数值位:真值的绝对值。
注意:数 0 的原码不唯一
2 )反码
定义:
X>0 ,则 [X] =[X]
X<0 , 则 [X] = 对应原码的符号位不变,数值部分按位求反
注意:数 0 的反码也不唯一
3 )补码
定义:
X>0 , 则 [X] = [X] = [X]
X<0 , 则 [X] = [X] +1
注意:机器字长为 8 时,数 0 的补码唯一,同为 00000000
2 8 位二进制的表示范围:
原码: -127~+127
反码: -127~+127
补码: -128~+127
3 、特殊数 10000000
该数在原码中定义为: -0
在反码中定义为: -127
在补码中定义为: -128
对无符号数: (10000000) = 128
三、信息的编码
1 、十进制数的二进制数编码
4 位二进制数表示一位十进制数。有两种表示法:压缩 BCD 码和非压缩 BCD 码。
1 )压缩 BCD 码的每一位用 4 位二进制表示, 0000~1001 表示 0~9 ,一个字节表示两位
十进制数。
2 )非压缩 BCD 码用一个字节表示一位十进制数,高 4 位总是 0000 ,低 4 位的 0000~1001
表示 0~9
2 、字符的编码
计算机采用 7 位二进制代码对字符进行编码
1 )数字 0~9 的编码是 0110000~0111001 ,它们的高 3 位均是 011 ,后 4 位正好与其对
的二进制代码( BCD 码)相符。
2 )英文字母 A~Z ASCII 码从 1000001 41H )开始顺序递增,字母 a~z ASCII
1100001 61H )开始顺序递增,这样的排列对信息检索十分有利。
第二章
微机组成原理
第一节、微机的结构
1、计算机的经典结构——冯.诺依曼结构
(1)计算机由运算器、控制器、输入设备和输出设备五大部分组成(运算器和控制器又称
为 CPU)
(2)数据和程序以二进制代码形式不加区分地存放在存储器总,存放位置由地址指定,数
制为二进制。
(3)控制器是根据存放在存储器中的指令序列来操作的,并由一个程序计数器控制指令的
执行。
3、 系统总线的分类
(1)数据总线(Data Bus),它决定了处理器的字长。
(2)地址总线(Address Bus),它决定系统所能直接访问的存储器空间的容量。
(3)控制总线(Control Bus)
第二节、8086 微处理器
1 8086 是一种单片微处理芯片,其内部数据总线的宽度是 16 位,外部数据总线宽度也是
16 位,片内包含有控制计算机所有功能的各种电路。
8086 地址总线的宽度为 20 位,有 1MB 2 20 )寻址空间。
2 8086CPU 由总线接口部件 BIU 和执行部件 EU 组成。 BIU EU 的操作是异步的,为
8086 取指令和执行指令的并行操作体统硬件支持。
3 8086 处理器的启动
4 、寄存器结构
8086 微处理器包含有 13 16 位的寄存器和 9 位标志位。
4 个通用寄存器( AX BX CX DX
4 个段寄存器( CS DS SS ES
4 个指针和变址寄存器( SP BP SI DI
指令指针( IP
1 )、通用寄存器
1 8086 4 16 位数据寄存器,它们又可分为 8 8 位寄存器,即:
AX AH AL
BX BH BL
CX CH CL
DX DH DL
常用来存放参与运算的操作数或运算结果
2 )数据寄存器特有的习惯用法
AX :累加器。多用于存放中间运算结果。所有 I/O 指令必须都通过 AX 与接口传送信息;
BX :基址寄存器。在间接寻址中用于存放基地址;
CX :计数寄存器。用于在循环或串操作指令中存放循环次数或重复次数;
DX :数据寄存器。在 32 位乘除法运算时,存放高 16 位数;在间接寻址的 I/O 指令中存
I/O 端口地址。
2 )、指针和变址寄存器
SP :堆栈指针寄存器,其内容为栈顶的偏移地址;
BP :基址指针寄存器,常用于在访问内存时存放内存单元的偏移地址。
SI :源变址寄存器
DI :目标变址寄存器
变址寄存器常用于指令的间接寻址或变址寻址。
3 )、段寄存器
CS :代码段寄存器,代码段用于存放指令代码
DS :数据段寄存器
ES :附加段寄存器,数据段和附加段用来存放操作数
SS :堆栈段寄存器,堆栈段用于存放返回地址,保存寄存器内容,传递参数
4 )、指令指针( IP
16 位指令指针寄存器,其内容为下一条要执行的指令的偏移地址。
5 )、标志寄存器
1 状态标志:
进位标志位( CF ):运算结果的最高位有进位或有借位,则 CF=1
辅助进位标志位( AF ):运算结果的低四位有进位或借位,则 AF=1
溢出标志位( OF ):运算结果有溢出,则 OF=1
零标志位( ZF ):反映指令的执行是否产生一个为零的结果
符号标志位( SF ):指出该指令的执行是否产生一个负的结果
奇偶标志位( PF ):表示指令运算结果的低 8 “1” 个数是否为偶数
2 )控制标志位
中断允许标志位( IF ):表示 CPU 是否能够响应外部可屏蔽中断请求
跟踪标志( TF ): CPU 单步执行
5、8086 的引脚及其功能(重点掌握以下引脚)
AD15~AD0 :双向三态的地址总线,输入 / 输出信号
INTR :可屏蔽中断请求输入信号,高电平有效。可通过设置 IF 的值来控制。
NMI :非屏蔽中断输入信号。不能用软件进行屏蔽。
RESET :复位输入信号,高电平有效。复位的初始状态见 P21
MN/MX :最小最大模式输入控制信号。
第三章 8086 指令系统
第一节 8086 寻址方式
一、数据寻址方式
1 、立即寻址
操作数(为一常数)直接由指令给出
(此操作数称为立即数)
立即寻址只能用于源操作数
例:
MOV AX, 1C8FH
MOV BYTE PTR[2A00H], 8FH
错误例:
× MOV 2A00H,AX ; 错误!
指令操作例: MOV AX 3102H; AX 3102H
执行后, (AH) = 31H (AL) = 02H
2 、寄存器寻址
(1)操作数放在某个寄存器中
(2)源操作数与目的操作数字长要相同
(3)寄存器寻址与段地址无关
例:
MOV AX, BX
MOV [3F00H], AX
MOV CL, AL
错误例:
× MOV AX,BL ; 字长不同
× MOV ES:AX,DX ; 寄存器与段无关
3 、直接寻址
(1)指令中直接给出操作数的 16 位偏移地址 偏移地址也称为有效地址(EA, Effective
Address)
(2)默认的段寄存器为 DS,但也可以显式地指定其他段寄存器——称为段超越前缀
(3)偏移地址也可用符号地址来表示,如 ADDR、VAR
例:
MOV AX ,[2A00H]
MOV DX ,ES:[2A00H]
MOV SI,TABLE_PTR
4 、间接寻址
操作数的偏移地址 ( 有效地址 EA ) 放在寄存器中
只有 SI DI BX BP 可作间址寄存器
例: MOV AX,[BX]
MOV CL,CS:[DI]
错误例 × MOV AX, [DX]
× MOV CL, [AX]
5 、寄存器相对寻址
EA= 间址寄存器的内容加上一个 8/16 位的位移量
例: MOV AX, [BX+8]
MOV CX, TABLE[SI]
MOV AX, [BP]; 默认段寄存器为 SS
指令操作例: MOV AX DATA[BX]
(DS)=6000H, (BX)=1000H, DATA=2A00H,
(63A00H)=66H, (63A01H)=55H
则物理地址 = 60000H + 1000H + 2A00H = 63A00H
指令执行后:( AX =5566H
6 、基址变址寻址
若操作数的偏移地址:
由基址寄存器 (BX BP) 给出 —— 基址寻址方式
由变址寄存器 (SI DI) 给出 —— 变址寻址方式
由一个基址寄存器的内容和一个变址寄存器的内容相加而形成操作数的偏移地址,称为
基址 - 变址寻址。
EA= BX + SI )或( DI );
EA= BP + SI )或( DI
同一组内的寄存器不能同时出现。
注意:除了有段跨越前缀的情况外,当基址寄存器为 BX 时,操作数应该存放在数据段 DS
中,当基址寄存器为 BP 时,操作数应放在堆栈段 SS 中。例:
MOV AX, [BX] [SI]
MOV AX, [BX+SI]
MOV AX, DS: [BP] [DI]
错误例:
× MOV AX, [BX] [BP]
× MOV AX, [DI] [SI]
指令操作例: MOV AX [BX][SI]
假定: (DS)=8000H, (BX)=2000H, SI=1000H
则物理地址 = 80000H + 2000H + 1000H = 83000H
指令执行后 : (AL)=[83000H]
(AH)=[83001H]
7、相对基址变址寻址
在基址 - 变址寻址的基础上再加上一个相对位移量
EA= BX + SI )或( DI +8 位或 16 位位移量;
EA= BP + SI )或( DI +8 位或 16 位位移量
指令操作例 MOV AX DATA[DI][BX]
(DS)=8000H, (BX)=2000H, (DI)=1000H, DATA=200H
则指令执行后 (AH)=[83021H], (AL)=[83020H]
寄存器间接、寄存器相对、基址变址、相对基址变址四种寻址方式的比较:
寻址方式 指令操作数形式
寄存器间接 只有一个寄存器( BX/BP/SI/DI 之一)
寄存器相对 一个寄存器加上位移量
基址 变址 两个不同类别的寄存器
相对基址 - 变址 两个不同类别的寄存器加上位移量
二、地址寻址方式(了解有 4 类,能判断)
简要判断依据(指令中间的单词):
段内直接 short,near
段内间接 word
段间直接 far
段间间接 dword
第二节 8086 指令系统
一、数据传送指令
1 、通用传送指令
(1) MOV dest src dest src
传送的是字节还是字取决于指令中涉及的寄存器是 8 位还是 16 位。
具体来说可实现:
MOV mem/reg1 mem/reg2
指令中两操作数中至少有一个为寄存器
MOV reg data ; 立即数送寄存器
MOV mem data ; 立即数送存储单元
MOV acc mem ; 存储单元送累加器
MOV mem acc ; 累加器送存储单元
MOV segreg mem/reg ; 存储单元 / 寄存器送段寄存器
MOV mem/reg segreg ; 段寄存器送存储单元 / 寄存器
MOV 指令的使用规则
IP 不能作目的寄存器
②不允许 mem mem
③不允许 segreg segreg
④立即数不允许作为目的操作数
⑤不允许 segreg ←立即数
⑥源操作数与目的操作数类型要一致
⑦当源操作数为单字节的立即数,而目的操作数为间址、变址、基址 + 变址的内存数时,必
须用 PTR 说明数据类型。如: MOV [BX] 12H 是错误的。
2 )、堆栈指令
什么是堆栈?
后进先出 (LIFO)” 方式工作的存储区域。堆栈以 为单位进行压入弹出操作。
规定由 SS 指示堆栈段的段基址,堆栈指针 SP 始终指向堆栈的顶部, SP 的初值规定了所
用堆栈区的大小。堆栈的最高地址叫栈底。
① 压栈指令 PUSH
PUSH src ; src 16 位操作数
例: PUSH
AX ;将 AX 内容压栈
执行操作:( SP -1 ←高字节 AH
SP -2 ←低字节 AL
(SP) ←( SP - 2
注意进栈方向是高地址向低地址发展。 `
② 弹出指令 POP
POP dest
例: POP
BX ;将栈顶内容弹至 BX
执行操作:( BL )←( SP
BH )←( SP +1
SP )←( SP +2
堆栈指令在使用时需注意的几点:
① 堆栈操作总是按字进行
② 不能从栈顶弹出一个字给 CS
③ 堆栈指针为 SS:SP SP 永远指向栈顶
SP 自动进行增减量( -2 +2
3 )、交换指令 XCHG
格式: XCHG reg mem/reg
功能:交换两操作数的内容。
要求:两操作数中必须有一个在寄存器中;
操作数不能为段寄存器和立即数;
源和目地操作数类型要一致。
举例: XCHG AX BX
XCHG [2000] CL
4 )查表指令 XLAT
执行的操作: AL [(BX)+(AL)]
又叫查表转换指令,它可根据表项序号查出表中对应代码的内容。执行时先将表的首地址
(偏移地址)送到 BX 中,表项序号存于 AL 中。
2 、输入输出指令
只限于用累加器 AL AX 来传送信息。
功能 : ( 累加器 ) ←→ I/O 端口
1 ) 输入指令 IN
格式 :
IN acc,PORT ;PORT 端口号 0 255H
IN acc,DX ;DX 表示的端口范围达 64K
:IN AL 80H ;(AL) (80H 端口 )
IN AL DX ;(AL) ((DX))
(2) 输出指令 OUT
格式: OUT port,acc
OUT DX,acc
例: OUT 68H AX ;(69H 68H) ←( AX
OUT DX AL ;((DX)) (AL)
在使用间接寻址的 IN/OUT 指令时,要事先用传送指令把 I/O 端口号设置到 DX 寄存器
如:
MOV DX 220H
IN AL DX; 220H 端口内容读入 AL
3 、目标地址传送指令
1 LEA
传送偏移地址
格式: LEA reg mem ; 将指定内存单元的偏移地址送到指定寄存器
要求:
1) 源操作数 必须是一个 存储器操作数;
2) 目的操作数必须是一个 16 位的通用寄存器。
例: LEA BX [SI+10H]
设:( SI =1000H
则执行该指令后,( BX =1010H
注意以下二条指令差别:
LEA BX BUFFER
MOV BX BUFFER
前者表示将符号地址为 BUFFER 的存储单元的偏移地址取到 BX ; 后者表示将 BUFFER
储单元中的内容取到 BX 中。
下面两条指令等效:
LEA BX BUFFER
MOV BX, OFFSET BUFFER
其中 OFFSET BUFFER 表示存储器单元 BUFFER 的偏移地址。
二者都可用于取存储器单元的偏移地址,但 LEA 指令可以取动态的地址, OFFSET 只能取
静态的地址。
二、算术运算指令
1 、 加法指令
(1) 不带进位的加法指令 ADD
格式: ADD
acc,data
ADD
mem/reg,data
ADD mem/reg1,mem/reg2
实例:
ADD
AL 30H
ADD
SI [BX+20H]
ADD
CX SI
ADD
[DI] 200H
ADD 指令对 6 个状态标志均产生影响。
例:已知 (BX)=D75FH
指令 ADD BX,8046H 执行后,状态标志各是多少?
D75FH = 1110 0111 0101 1111
8046H = 1000 0000 0100 0110
1 1 11 11
0110 0111 1010 0101
结果: C=1, Z=0, P=0, A=1, O=1, S=0
判断溢出与进位
从硬件的角度:默认参与运算的操作数都是有符号数,当两数的符号位相同,而和的结果相
异时有溢出,则 OF=1 ,否则 OF=0
2 ) 带进位的加法 ADC
ADC 指令在形式上和功能上与 ADD 类似,只是相加时还要包括进位标志 CF 的内容,例如:
ADC AL 68H ; AL (AL)+68H+(CF)
ADC AX CX ;AX (AX)+(CX)+(CF)
ADC BX [DI] ;BX (BX)+[DI+1][DI]+(CF)
3 )加 1 指令 INC
格式: INC reg/mem
功能:类似于 C 语言中的 ++ 操作:对指定的操作数加 1
例: INC AL
INC SI
INC BYTE PTR[BX+4]
注:本指令不影响 CF 标志。
4 )非压缩 BCD 码加法调整指令 AAA
AAA 指令的操作:
如果 AL 的低 4 位> 9 AF=1 ,则:
AL (AL)+6,(AH) (AH)+1,AF 1
AL 4 位清零
CF AF
否则 AL 4 位清零
5 )压缩 BCD 码加法调整指令 DAA
两个压缩 BCD 码相加结果在 AL 中,通过 DAA 调整得到一个正确的压缩 BCD .
指令操作 ( 调整方法 )
AL 的低 4 位> 9 AF=1
(AL) (AL)+6 AF 1
AL 的高 4 位> 9 CF=1
(AL) (AL)+60H CF 1
OF 外, DAA 指令影响所有其它标志。
DAA 指令应紧跟在 ADD ADC 指令之后。
2 、 减法指令
1 )不考虑借位的减法指令 SUB
格式: SUB dest, src
操作: dest←(dest)-(src)
注:1.源和目的操作数不能同时为存储器操作数
2.立即数不能作为目的操作数
指令例子:
SUB AL,60H
SUB [BX+20H],DX
SUB AX,CX
2 )考虑借位的减法指令 SBB
SBB 指令主要用于多字节的减法。
格式: SBB dest, src
操作: dest←(dest)-(src)-(CF)
指令例子:
SBB AX,CX
SBB WORD PTR[SI],2080H
SBB [SI],DX
3 )减 1 指令 DEC
作用类似于 C 语言中的”--”操作符。
格式:DEC opr
操作:opr←(opr)-1
指令例子:
DEC CL
DEC BYTE PTR[DI+2]
DEC SI
4 )求补指令 NEG
格式: NEG opr
操作: opr 0-(opr)
对一个操作数取补码相当于用 0 减去此操作数,故利用 NEG 指令可得到负数的绝对值。
例:若 (AL)=0FCH ,则执行 NEG AL 后,
(AL)=04H CF=1
5 )比较指令 CMP
格式: CMP dest, src
操作: (dest)-(src)
CMP 也是执行两个操作数相减 , 但结果不送目标操作数 , 其结果只反映在标志位上。
指令例子:
CMP AL 0AH
CMP CX SI
CMP DI [BX+03]
6 )非压缩 BCD 码减法调整指令 AAS
对 AL 中由两个非压缩的 BCD 码相减的结果进行调整。调整操作为:
若 AL 的低 4 位>9 或 AF=1,则:
① AL←(AL)-6,AH←(AH)-1,AF←1
② AL 的高 4 位清零
③ CF←AF
否则:AL 的高 4 位清零
(7)压缩 BCD 码减法调整指令 DAS
对 AL 中由两个压缩 BCD 码相减的结果进行调整。调整操作为:
若 AL 的低 4 位>9 或 AF=1,则:
AL←(AL)-6, 且 AF←1
若 AL 的高 4 位>9 或 CF=1,则:
AL←(AL)-60H,且 CF←1
DAS 对 OF 无定义,但影响其余标志位。
DAS 指令要求跟在减法指令之后。
3、 乘法指令
进行乘法时:8 位*8 位→16 位乘积
16 位*16 位→32 位乘积
(1) 无符号数的乘法指令 MUL(MEM/REG)
格式: MUL src
操作:字节操作数 (AX)←(AL) × (src)
字操作数 (DX, AX)←(AX) × (src)
指令例子:
MUL BL ;(AL) ×( BL),乘积在 AX 中
MUL CX ;(AX) ×( CX),乘积在 DX,AX 中
MUL BYTE PTR[BX]
(2)有符号数乘法指令 IMUL
格式与 MUL 指令类似,只是要求两操作数均为 有符号数
指令例子:
IMUL BL (AX) (AL)×(BL)
IMUL WORD PTR[SI]
(DX,AX) (AX)×([SI+1][SI])
注意: MUL/IMUL 指令中
● AL(AX)为隐含的乘数寄存器;
● AX(DX,AX)为隐含的乘积寄存器;
● SRC 不能为立即数;
● 除 CF 和 OF 外,对其它标志位无定义。
4、除法指令
进行除法时: 16 /8 位→ 8 位商
32 /16 位→ 16 位商
对被除数、商及余数存放有如下规定:
被除数 余数
字节除法 AX AL AH
字除法 DX:AX AX DX
1 )无符号数除法指令 DIV
格式: DIV src
操作:字节操作 (AL)←(AX) / (SRC) 的商
(AH)←(AX) / (SRC) 的余数
字操作 (AX) ←(DX, AX) / (SRC) 的商
(DX) ←(DX, AX) / (SRC) 的余数
指令例子:
DIV CL
DIV WORD PTR[BX]
2 )有符号数除法指令 IDIV
格式: IDIV src
操作与 DIV 类似。商及余数均为有符号数 , 且余数符号总是与被除数符号相同。
注意 : 对于 DIV/IDIV 指令
AX(DX,AX) 为隐含的被除数寄存器。
AL(AX) 为隐含的商寄存器。
AH(DX) 为隐含的余数寄存器。
src 不能为立即数。
对所有条件标志位均无定
关于除法操作中的字长扩展问题
•除法运算要求被除数字长是除数字长的两倍 , 若不满足则需 对被除数进行扩展 , 否则产生错
误。
•对于无符号数除法扩展,只需将 AH DX 清零即可。
•对有符号数而言 , 则是符号位的扩展。可使用前面介绍过的符号扩展指令 CBW CWD
三、逻辑运算和移位指令
1 、逻辑运算指令
1 )逻辑与 AND
对两个操作数进行按位逻辑“与”操作。
格式:AND dest, src
用途:保留操作数的某几位,清零其他位。
例 1:保留 AL 中低 4 位,高 4 位清 0。
AND AL,0FH
2 )逻辑或 OR
对两个操作数进行按位逻辑 操作。
格式: OR dest, src
用途:对操作数的某几位置 1 ;对两操作数进行组合。
1 :把 AL 中的非压缩 BCD 码变成相应十进制数的 ASCII 码。
OR AL, 30H
3 )逻辑非 NOT
对操作数进行按位逻辑 操作。格式: NOT mem/reg
例: NOT CX
NOT BYTE PTR[DI]
4 )逻辑异或 XOR
对两个操作数按位进行”异或”操作。
格式:XOR dest, src
用途:对 reg 清零(自身异或)
把 reg/mem 的某几位变反(与’1’异或)
例 1:把 AX 寄存器清零。
①MOV AX,0
②XOR AX,AX
③AND AX,0
④SUB AX,AX
5 )测试指令 TEST
操作与 AND 指令类似 , 但不将 的结果送回 , 只影响标志位。
TEST 指令常用于位测试 , 与条件转移指令一起用。
例:测试 AL 的内容是否为负数。
TEST AL,80H ;检查 AL D7=1
JNZ MINUS ;是 1( 负数 ) ,转 MINUS
… … ;否则为正数
2 、移位指令
(1) 非循环移位指令
算术左移指令 SAL(Shift Arithmetic Left)
算术右移指令 SAR(Shift Arithmetic Right)
逻辑左移指令 SHL(Shift Left)
逻辑右移指令 SHR(Shift Right)
4 条指令的格式相同 , SAL 为例:
CL ; 移位位数大于 1
SAL mem/reg
1
; 移位位数等于 1
算术移位 —— 把操作数看做有符号数;
逻辑移位 —— 把操作数看做无符号数。
移位位数放在 CL 寄存器中,如果只移 1 ,
可以直接写在指令中。例如:
MOV CL,4
SHR AL,CL AL 中的内容右移 4
影响 C,P,S,Z,O 标志。
结果未溢出时:
左移 1 位≡操作数 *2
右移 1 位≡操作数 /2
例:把 AL 中的数 x 10
因为 10=8+2=23+21 ,所以可用移位实现乘 10 操作。程序如下:
MOV CL,3
SAL AL,1 ; 2 x
MOV AH,AL
SAL AL,1 ; 4 x
SAL AL,1 ; 8 x
ADD AL,AH ; 8 x +2 x = 10 x
四、控制转移指令
1、 转移指令
(1)无条件转移指令 JMP
格式:JMP label
本指令无条件转移到指定的目标地址 , 以执行从该地址开始的程序段。
(2)条件转移指令(补充内容)
① 根据单个标志位设置的条件转移指令
JB/JC ;低于 , CF=1, 则转移
JNB/JNC/JAE ;高于或等于 , CF=0, 则转移
JP/JPE ;奇偶标志 PF=1( ), 则转移
JNP/JPO ;奇偶标志 PF=0( ), 则转移
JZ/JE ;结果为零 (ZF=1), 则转移
JNZ/JNE ;结果不为零 (ZF=0), 则转移
JS SF=1, 则转移
JNS SF=0, 则转移
JO
OF=1, 则转移
JNO
OF=0, 则转移
②根据组合条件设置的条件转移指令
这类指令主要用来判断两个数的大小。
判断无符号数的大小
JA 高于则转移
条件为 : CF=0 ZF=0 ,即 A B
JNA/JBE 低于或等于则转移
条件为 : CF=1 ZF=1 ,即 A B
JB A<B 则转移
JNB A B 则转移
★判断有符号数的大小
JG ;大于则转移 (A B)
条件为 : (SF OF=0) ZF=0
JGE ;大于或等于则转移 (A B)
条件为 : (SF OF=0) ZF=1 JLE ;小于或等于则转移 (A B)
条件为 : (SF OF=1) ZF=1
JL ;小于则转移 (A B
条件为 : (SF OF=1) ZF=0
2 、循环控制指令
用在循环程序中以确定是否要继续循环。
循环次数通常置于 CX 中。
转移的目标应在距离本指令 -128 +127 的范围之内。
循环控制指令不影响标志位。
(1)LOOP
格式: LOOP label
操作: (CX)-1 CX
(CX) 0, 则转至 label 处执行
否则退出循环 , 执行 LOOP 后面的指令。
LOOP 指令与下面的指令段等价:
DEC CX
JNZ label
3 、过程调用指令
1 )调用指令 CALL
一般格式: CALL sub ; sub 为子程序的入口
4 、中断指令
(1)INT n 执行类型 n 的中断服务程序, N=0 255
五、处理器控制指令
1 、标志位操作
1 CF 设置指令
CLC 0 CF STC 1 CF CMC CF 变反
2 DF 设置指令
CLD 0 DF ( 串操作的指针移动方向从低到高 )
STD 1 DF ( 串操作的指针移动方向从高到低 )
3 IF 设置指令
CLI 0 IF ( 禁止 INTR 中断 ) STI 1 IF ( 开放 INTR 中断 )
2 HLT halt
执行 HLT 指令后, CPU 进入暂停状态。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
微机原理接口技术是计算机专业的一门重要课程,本文将为您介绍期末复习的相关知识点。 1. 计算机组成与原理 计算机组成:CPU、内存、输入输出设备、总线等。 计算机原理:指令系统、CPU结构、中断系统、存储系统等。 复习重点:CPU结构、指令系统、存储系统等。 2. 处理器结构和指令系统 处理器结构:ALU、寄存器、控制器等。 指令系统:指令格式、寻址方式、操作码等。 复习重点:指令格式、寻址方式、操作码等。 3. 存储器与存储体系结构 存储器分类:RAM、ROM、Cache等。 存储器层次结构:L1 Cache、L2 Cache、主存储器、辅助存储器。 复习重点:Cache的工作原理、存储器层次结构。 4. 总线技术和输入输出系统 总线技术:ISA、PCI、USB等。 输入输出系统:键盘、鼠标、显示器等。 复习重点:总线技术、输入输出系统的工作原理。 5. 中断系统和DMA技术 中断系统:硬件中断、软件中断。 DMA技术:直接存储器访问,减少CPU的占用率。 复习重点:中断系统的工作原理、DMA技术的工作原理。 6. 接口技术和通信技术 接口技术:串行接口、并行接口等。 通信技术:网络通信、数据通信等。 复习重点:串行接口、并行接口的工作原理、网络通信、数据通信的基本概念。 以上就是微机原理接口技术的期末复习相关知识点。希望对您有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小鸭文库

你的鼓励是我前进的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值