Altera_FPGA时序约束及设计优化

前方高能,全程干货。来自Altera官方培训资料


----------------------------------------------------------------------------------------------------------------------------------------------------------

一、Altera_FPGA设计优化

 时序优化(speed)资源优化(area)功耗优化(power


1.优化助手DSE:

 

Open:Tools-Lanch Design Space Explorer II

On the Project page, open the project and revision you want to compile and explore.

On the Setup page, under Compilation Type, click Local.

On  the Exploration page, under Exploration Points, click Single compilation or Design Exploration.

Set other compilation or exploration parameters, as needed.

Click Start.


图1.选择优化模式

2.Optimization Advisor:

   Open:Tools-Advisors-Timing Optimization Advisor/

Resource Optimization Advisor/Power Optimization Advisor

高亮的黄色感叹号部分表示可以优化设置,有些选项可直接选择Correct the Settings设定为推荐值,如功耗优化(Power Optimization Advisor)中为减小动态功耗,设定综合器和布局布线器进行Power-Driven设计:

2.功耗优化按Advisor推荐设定

点击Correct the Settings之后,会发现Assignments-Settings中相关选项进行了更改:

 

 3.综合时动态功耗优化选项自动被设定

 

  以此类推,根据需求优化其他时序、功耗或面积选项。

 

3.功耗分析工具EPE (略)

 

 

图4.EPE进行功耗分析

 

二、时序约束


1.TimeQuest基础

 

图5.TimeQuest界面

 

 

图6.TimeQuest创建SDC约束文件

 

 

7.TimeQuest使用流程


2.编写时序约束(*.sdc)

使用GUI自动生成相关命令:Constrains-Create Clock/..SDC约束共包括四类时序约束:Clocks、I/O、False paths、Multicycle paths

(1)Clocks Constraints

 

 

图8.时钟约束类型

 

 

 

图9.Create Clock by GUI

 

图10.Create Generated Clock by GUI

 

图11.Clock Latency by GUI

 

图12.Clock Uncertainty by GUI

 

图13.Unconstrained Path Report

 

(2) I/O Constraints

 

图14.IO Constraints类型

 

图15.组合逻辑IOMaximum Delay by GUI

 

图16.同步时序电路IO Delay by GUI

 

 


图17.检查SDC报告

 

(3)False paths Constraints(略)

 

(4)Multicycle paths Constraints(略)


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Ctrlturtle

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值