Quartus/Modelsim时序仿真

本文详细介绍了如何使用Quartus 13.0和Modelsim_Altera进行时序仿真,包括配置Modelsim路径、运行仿真、在Modelsim中创建和编辑波形,以及启动和查看仿真效果。通过一个计数器程序的测试,展示了如何设置时钟和复位信号的波形,以观察寄存器的变化。
摘要由CSDN通过智能技术生成

当我们想要观察寄存器等变量的时序变化的时候,FPGA时序仿真工具是必不可少的。本文是基于quartus 13.0 + Modelsim_Altera 的,参考了官方的文档,英语好的同学直接看Reference就行了。

测试代码

我们用一个计数程序来做测试,在每个时钟上升沿count加一。

module counter
(
    clk,
    reset,
    count
);

    input clk;
    input reset;
    output [7:0] count;

    reg [7:0] count;

    always @(posedge clk or posedge reset)
    begin
        if (reset) 
            count = 0;      
        else 
            count = result + 1;
    end
endmodule       

配置Modelsim

  1. On the Tools menu of the Quartus II GUI, click Options. The Options dialog box appears. In the Category list, under General, click EDA Tool Options
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值