quartus怎么仿真波形_ModelSim 仿真实例入门之(三)时序仿真

       时序仿真就要比前面的功能仿真步骤上要多,我们以QuartusII的 12.0SP2 版本和 Cyclone IV 的 EP4CE6F17C8器件 为例,讲解时序仿真的方法和步骤。

时序仿真需要的文件包括如下:

 1)综合后生成的网表文件“ * .vo ”(如果在 Setting 里面设置里输出语言为 VHDL 的话,则生成的网表文件为“ * .vho”)

2)综合后生成的具有时序延时信息的文件“ * .sdo ” (VHDL 语言亦为此)

3)Test Bench 测试文件

4)Altera 的元器件库

       具体流程为先在 Quartus II 中生成网表文件和时延文件,然后调用ModelSim 进行仿真,详细步骤如下:

01

打开 Quartus II 软件,新建工程和Verilog文件 counter8.v,还是以counter8.v 为例,添加该文件到工程中。

然后,选择“Settings”→“EDA Tool Settings”,左栏的“Simulation”,设置情况如图 1 所示。

  • “Tool name”选择 ModelSim-Altera

  • “Format for output netlist”选择VHDL 或 Verilog,“output directory”是选择输出的网表文件和延时信息文件的存放路径,一般选择默认即可,在编译成功后,会在 Quartus II 的工程文件夹下面生成一个 simulation/modelsim 的文件夹,里面存后面要用到的.

  • 4
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值