对于verlog仿真的时候,数据打拍delay的问题

这几天对于verlog里面reg变量赋值,导致数据delay的情况十分混论,这里理一理;
首先单独一个reg型变量在always块中,进行常数赋值或者自赋值,显然不会产生delay

always@( posedge clk or negedge rst_n )
begin
    if( !rst_n )begin
        count_reg <=  0;
    end
    else 
        if( count_reg < 255 )
        begin
           count_reg <= count_reg + 1; //实测这种模式已经存在一个时钟的延时了,不
        end
end

这个count_reg在仿真的时候不会产生delay。

而如果在一个时钟沿上级联两个寄存器,那么这种后一个寄存器会出现一拍的延时。如下图:

always@( posedge clk or negedge rst_n )
begin
    if( !rst_n )begin
        count_reg <=  0;
    end
    else 
        if( count_reg < 255 )
        begin
           count_reg <= count_reg + 1; //实测这种模式已经存在一个时钟的延时了,不
        end
end


always@( posedge clk or negedge rst_n )
begin
    if( !rst_n )
        count <= 0;
    else
       count <= count_reg;  
end 

仿真效果如下
这里写图片描述
可以看到count是较count_reg延时了一拍的.
综合出的电路图如下:
这里写图片描述

可以看到count 与count_reg是级联的。count比count_reg延时一拍

但是注意到下面这种情况

always@( posedge clk or negedge rst_n )
begin
    if( !rst_n )begin
        count_reg <=  0;
        count <= 0;
    end
    else 
        if( count_reg < 255 )
        begin
           count_reg <= count_reg + 1; //实测这种模式已经存在一个时钟的延时了,不
           count <= count_reg;  
        end
end

综合出的电路,以及时序都跟上面一样,会顺延一拍。

总结的规律是:当一组级联的寄存器级联串起来,当第一级寄存器变化的时候,最后的输出要延时几个周期才能输出,延时的周期数跟级数的差值相同。

一个寄存器和另一个寄存器级联,除非要求第一级寄存器和第二级寄存器在同一个时钟沿同时变化,否则不会出现延时的情况。

  • 4
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值