FPGA异步信号寄存器打拍

寄存器打拍

对于异步信号的处理,一般要进行寄存器打拍以防止亚稳态的产生。通常可以打一拍、两拍或者三拍。打一拍就是指将信号延时一个时钟周期,打n拍就是延迟n个时钟周期。

当同一个时钟域的信号由于各种延时导致不能满足时序要求时,可以进行打一拍的操作。异步信号也可以进行打一拍的操作,关于打一拍的具体规则如下:

1.全局时钟的跳变沿最可靠。
2.来自异步时钟域的输入需要寄存一次以同步化,再寄存一次以减少亚稳态带来的影响。
3.不需要用到跳变沿的来自同一时钟域的输入,没有必要对信号进行寄存。
4.需要用到跳变沿的来自同一时钟域的输入,寄存一次即可。
5.需要用到跳变沿的来自不同时钟域的输入,需要用到3个触发器,前两个用以同步,第3个触发器的输出和第2个的输出经过逻辑门来判断跳变沿。

当要处理跨时钟域的问题,就要对信号进行打多拍的操作。有一个衡量电器产品可靠性的指标,叫做平均故障间隔时间,简称MTBF,它反映了产品的时间质量,是体现产品在规定时间内保持功能的一种能力。具体来说,是指相邻两次故障之间的平均工作时间,也称为平均故障间隔。由下面的公式可以证明,当对异步信号进行打两拍操作后,可以将两次亚稳态发生的时间间隔控制在100年以上,几乎可以认定在器件的使用寿命中不会出现亚稳态。

 

除了一拍和两拍,常见的操作还有打三拍,打三拍也是为了处理跨时钟域的问题,打三拍可以判断上升沿或者下降沿。如下图所示的打三拍操作,通过判断当rx2为低电平为rx2_reg为高电平时,证明出现了下降沿,上升沿的判断类似。

 

 Verilog代码

//信号打拍
always @(posedge clk) begin
	{rx2_reg,rx2,rx1} <= {rx2,rx1,rx};
end

可以利用拼接进行打拍操作,上面代码是打三拍的,两拍与一拍类似。

  • 5
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值