三分频50%占空比电路的VHDL可综合代码

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
------------------------------------------
entity div3 is
port(
    clk_in    :    in    std_logic;
    clk_out    :    out std_logic
    );
end div3;
------------------------------------------
architecture behav of div3 is
signal count1 : std_logic_vector(1 downto 0);
signal count2 : std_logic_vector(1 downto 0);
signal q      : std_logic;
signal outclk1: std_logic;
signal outclk2: std_logic;
begin
    q         <= outclk1 and outclk2;
    clk_out    <= q xor outclk1;
    -------------------------------
    process(clk_in)
    begin
        if clk_in'event and clk_in = '1' then
            if count1 = "00" then
                outclk1 <= '0';
                count1  <= count1 + 1;
            elsif count1 = "01" then
                outclk1 <= '1';
                count1  <= count1 + 1;
            elsif count1 = "10" then
                outclk1 <= '1';
                count1  <= "00";
            end if;
        end if;
    end process;
    -------------------------------
    process(clk_in)
    begin
        if clk_in'event and clk_in = '0' then
            if count2 = "00" then
                outclk2 <= '1';
                count2  <= count2 + 1;
            elsif count2 = "01" then
                outclk2 <= '0';
                count2  <= count2 + 1;
            elsif count2 = "10" then
                outclk2 <= '0';
                count2  <= "00";
            end if;
        end if;
    end process;
    ---------------------------------
end behav;
  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值