在ModelSim中添加Xilinx仿真库

(注)这个是ISE编译的库,只能仿真ISE生成的ip。

若用modelsim仿真vivado生成的ip,需要用vivado再编译一次。


在ModelSim中添加Xilinx仿真库

 

说明:

 

l ModelSim一定要安装在不带空格的目录下,即不要安装在“Program Files”目录下。如作者是安装在D:\softwares\Modelsim目录下。

 

l ISE软件也最好安装在不带空格的目录下。

 

1、        找到开始菜单->程序->Xilinx ISEDesign Suite 11 -> ISE -> Accessories -> Simulation LibraryCompilation Wizard.

(也可能在ISE design tools里面)

 image

 

 

2、选定ModelSim的版本,以及指定ModelSim的安装路径。

 image

 

3、选择Both VHDL and Verilog。

 

image

 

4、选择支持哪些系列的芯片,看自己需要增减。

 

image

 

5、默认选全上即可。

 

image

 

6、指定编译完后的库存放位置,这里作者在modelsim安装目录下新建了xilinx_lib的文件夹,并指定到这里。(注意不要指向带空格的路径)

 

image

 

7、运行 Lauch Compile Process,即开始编译库文件,需要几十分钟。编译完成后应该在xilinx_lib目录下多出如下文件夹:

 clip_image014

 

8、右键打开modelsim目录下的modelsim.ini文件,先将其“只读”属性去掉。然后用记事本打开。在[Library]下面添加如下代码,即之前编译好的Xilinx库的路径。

 

unimacro =D:/softwares/modelsim/xilinx_lib/unimacro

 

unimacro_ver =D:/softwares/modelsim/xilinx_lib/unimacro_ver

 

unisim =D:/softwares/modelsim/xilinx_lib/unisim

 

unisims_ver =D:/softwares/modelsim/xilinx_lib/unisims_ver

 

secureip =D:\softwares\modelsim\xilinx_lib/secureip

 

xilinxcorelib =D:/softwares/modelsim/xilinx_lib/xilinxcorelib

 

xilinxcorelib_ver= D:/softwares/modelsim/xilinx_lib/xilinxcorelib_ver

 

simprim =D:/softwares/modelsim/xilinx_lib/simprim

 

simprims_ver =D:/softwares/modelsim/xilinx_lib/simprims_ver

 

clip_image016

 

9、再次打开ModelSim,即可以看到Xilinx的库已经默认出现在了库列表里。以后仿真Xilinx的IP核时,就不用每次都添加库了。

 clip_image018

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值