vhdl_用bcd码实现八段数码管

library ieee;
use ieee.std_logic_1164.all;
entity bcd_8 is
port(bcd:in std_logic_vector(3 downto 0);
     out8:out std_logic_vector(7 downto 0));
end;
architecture a of bcd_8 is
begin
with bcd select
  out8<="11111100"when"0000",
        "01100000"when"0001",
        "11011010"when"0010",
        "11110010"when"0011",
        "01100110"when"0100",
        "10110110"when"0101",
        "10111110"when"0110",
        "11100000"when"0111",
        "11111110"when"1000",
        "11100110"when"1001",
        "11111111"when others; 
end;

library ieee;
use ieee.std_logic_1164.all;
entity bcd_8 is
port(bcd:in std_logic_vector(3 downto 0);
     out8:out std_logic_vector(7 downto 0));
end;
architecture a of bcd_8 is
begin
with bcd select
  out8<="11111100"when"0000",
        "01100000"when"0001",
        "11011010"when"0010",
        "11110010"when"0011",
        "01100110"when"0100",
        "10110110"when"0101",
        "10111110"when"0110",
        "11100000"when"0111",
        "11111110"when"1000",
        "11100110"when"1001",
        "11111111"when others; 
end;

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值