vhdl_自动售卖机(1.5元的水,可投0.5元,1元的硬币)

library ieee;
use ieee.std_logic_1164.all;
entity vending_machine is
port(reset:in std_logic;
     clock:in std_logic;
     din:in std_logic_vector(1 downto 0);
     dout:out std_logic_vector(1 downto 0));
end;
architecture a of vending_machine is
type state_type is(s0,s5,s10);
signal state:state_type;
begin
process(reset,clock)
begin
if reset='1' then
state<=s0;
elsif rising_edge (clock) then
case state is
  when s0=>
    if din="00" then
    state<=s0;dout<="00";
    elsif din="01" then
    state<=s5;dout<="00";
    elsif din="10" then
    state<=s10;dout<="00";
    end if;
  when s5=>
    if din="00" then
    state<=s5;dout<="00";
    elsif din="01" then
    state<=s10;dout<="00";
    elsif din="10" then
    state<=s0;dout<="10";
    end if;
  when s10=>
    if din="00" then
    state<=s10;dout<="00";
    elsif din="01" then
    state<=s0;dout<="10";
    elsif din="10" then
    state<=s0;dout<="11";
    end if;
end case;
end if;
end process;
end a;
 

library ieee;
use ieee.std_logic_1164.all;
entity vending_machine is
port(reset:in std_logic;
     clock:in std_logic;
	 din:in std_logic_vector(1 downto 0);
     dout:out std_logic_vector(1 downto 0));
end;
architecture a of vending_machine is
type state_type is(s0,s5,s10);
signal state:state_type;
begin
process(reset,clock)
begin
if reset='1' then
state<=s0;
elsif rising_edge (clock) then
case state is
  when s0=>
    if din="00" then
	state<=s0;dout<="00";
    elsif din="01" then
	state<=s5;dout<="00";
	elsif din="10" then
	state<=s10;dout<="00";
    end if;
  when s5=>
    if din="00" then
	state<=s5;dout<="00";
    elsif din="01" then
	state<=s10;dout<="00";
	elsif din="10" then
	state<=s0;dout<="10";
    end if;
  when s10=>
    if din="00" then
	state<=s10;dout<="00";
    elsif din="01" then
	state<=s0;dout<="10";
	elsif din="10" then
	state<=s0;dout<="11";
    end if;
end case;
end if;
end process;
end a;

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值