FPGA学习笔记(十)

74LS138使用Verilog表述方法

module ls138(
input clk,
input CI,
input A,
input B,
output reg S,
output reg CO
);

reg [1:0] num;

always@(*) num = A + B + CI;

always@(*)
	case(num)
	0:begin
		S = 0;
		CO = 0;
		end
	1:begin
		S = 1;
		CO = 0;
		end
	2:begin
		S = 0;
		CO = 1;
		end
	3:begin
		S = 1;
		CO = 1;
		end
	endcase

endmodule

使用两片74LS138合成片74LS238

module ls238(
input CI,
input [3:0] A,B,
output reg [3:0] S,
output reg CO
);

reg [1:0] b1,b2,b3,b4;

function [1:0] ls183;
input a,c,ci;
begin
	ls183 = a + c + ci;
end
endfunction

always@(*) b1 = ls183(A[0],B[0],CI);
always@(*) b2 = ls183(A[1],B[1],b1[1]);
always@(*) b3 = ls183(A[2],B[2],b2[1]);
always@(*) b4 = ls183(A[3],B[3],b3[1]);

always@(*) S = {b4[0],b3[0],b2[0],b1[0]};
always@(*) CO = b4[1];

endmodule

流水的灯实现代码

module led_R_W(
input rst,clk,
output reg [7:0] led
);

parameter CNT_MAX = 49_999_999;

reg [32:0] cnt;
reg [4:0] flag;

always@(posedge clk)
	if(rst)
		cnt <= 0;
	else if(cnt == CNT_MAX)
		cnt <= 0;
	else
		cnt <= cnt + 1;
		
always@(posedge clk)
	if(rst)
		flag <= 0;
	else if(flag == 9)
		flag <= 0;
	else if(cnt == CNT_MAX - 1)
		flag <= flag + 1;
		
always@(posedge clk)
	if(rst)
		led <= 0;
	else 
		led <= (1 << flag);

endmodule

数码管的单片显示

module nixie_tube(
input clk,rst,
output [7:0] seg7_sel,seg7_data
);

//50Mhz的时钟,每秒计数值
parameter NUM_MAX = 49_999_999;

//共阳极数码管,段选1~9编码
parameter [7:0] n0 = 8'b1100_0000;
parameter [7:0] n1 = 8'b1111_1001;
parameter [7:0] n2 = 8'b1010_0100;
parameter [7:0] n3 = 8'b1011_0000;
parameter [7:0] n4 = 8'b1001_1001;
parameter [7:0] n5 = 8'b1001_0010;
parameter [7:0] n6 = 8'b1000_0010;
parameter [7:0] n7 = 8'b1111_1000;
parameter [7:0] n8 = 8'b1000_0000;
parameter [7:0] n9 = 8'b1001_0000;
			 

reg [26:0] num;
reg [4:0] flag; 
reg [7:0] data;

//位选点亮最低位
assign seg7_sel = 8'b1111_1110;

//1s计数器
always@(posedge clk)
	if(rst)
		num <= 0;
	else if(num == NUM_MAX)
		num <= 0;
	else
		num <= num + 1;

//1秒标志位
always@(posedge clk)
	if(rst)
		flag <= 0;
	else if(num == NUM_MAX-1)
		flag <= (flag == 9)?0:flag + 1;

//第一位数码管显示的内容
always@(posedge clk)
	case(flag)
	0: data <= n0;
	1: data <= n1;
	2: data <= n2;
	3: data <= n3;
	4: data <= n4;
	5: data <= n5;
	6: data <= n6;
	7: data <= n7;
	8: data <= n8;
	9: data <= n9;
	default data <= 8'd1111_1111;
	endcase
		
//输出段选信号
assign seg7_data = data;

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
小梅哥在学习Xilinx FPGA期间进行了积极的探索,特别是对Cyclone V SOC的开发流程进行了学习。从裸机到基于Linux嵌入式系统,小梅哥实现了FPGA和ARM Cortex-A9 CPU之间的双向控制和数据传输。 在代码编写方面,小梅哥设计了一个名为mux2的模块,用于实现二选一多路器。模块包含了输入和输出端口,并通过assign语句实现了信号的赋值。 在激励文件中,小梅哥使用reg和wire定义了输入和输出信号,并通过mux2模块进行了实例化。然后,通过initial块生成了一系列的激励信号,对模块进行仿真。 总结来说,小梅哥在Xilinx FPGA学习笔记中记录了自己对Cyclone V SOC的学习、代码编写和激励文件的使用。这些学习内容对于理解FPGA开发流程以及实现特定功能非常有帮助。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [FPGA自学笔记——设计与验证VIP版.pdf](https://download.csdn.net/download/qq_30307853/11656682)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [小梅哥Xilinx FPGA学习笔记1——二选一多路器](https://blog.csdn.net/weixin_42454243/article/details/122026484)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值