MIPI D-PHY TX 一致性测试实例解析 Part 02

文章详细介绍了MIPID-PHY时钟通道的一系列测试项,包括TLPX、TCLK-PREPARE、TXDifferentialVoltages等参数的测量方法和判定标准,涵盖了信号质量、上升/下降时间、共模电压等方面,确保时钟通道的性能符合一致性要求。
摘要由CSDN通过智能技术生成

ff3ebbbd07d145e3ae0d1e4124fe3fbc.png

目录

引言

测试项详解

Test 1.4.1 – Clock Lane HS Entry: TLPX Value

Test 1.4.2 – Clock Lane HS Entry: TCLK-PREPARE Value

Test 1.4.3 – Clock Lane HS Entry: TCLK-PREPARE+TCLK-ZERO Value

Test 1.4.4 – Clock Lane HS-TX Differential Voltages (VOD(0), VOD(1))

Test 1.4.5 – Clock Lane HS-TX Differential Voltage Mismatch (ΔVOD)

Test 1.4.6 – Clock Lane HS-TX Single-Ended Output High Voltages (VOHHS(DP), VOHHS(DN))

Test 1.4.7 – Clock Lane HS-TX Static Common-Mode Voltages (VCMTX(1), VCMTX(0))

Test 1.4.8 – Clock Lane HS-TX Static Common-Mode Voltage Mismatch (ΔVCMTX(1,0))

Test 1.4.9 – Clock Lane HS-TX Dynamic Common-Level Variations Between 50-450MHz (ΔVCMTX(LF))

Test 1.4.10 – Clock Lane HS-TX Dynamic Common-Level Variations Above 450MHz (ΔVCMTX(HF))

Test 1.4.11 – Clock Lane HS-TX 20%-80% Rise Time (tR)

Test 1.4.12 – Clock Lane HS-TX 80%-20% Fall Time (tF)

Test 1.4.13 – Clock Lane HS Exit: TCLK-TRAIL Value

Test 1.4.14 – Clock Lane HS Exit: 30%-85% Post-EoT Rise Time (TREOT)

Test 1.4.15 – Clock Lane HS Exit: TEOT Value

Test 1.4.16 – Clock Lane HS Exit: THS-EXIT Value

Test 1.4.17 – Clock Lane HS Clock Instantaneous UI (UIINST)

Test 1.4.18 – Clock Lane HS Clock Delta UI (ΔUI)


引言

        如果测过1.3.x,并对这组测试的细节已经熟悉的前提下,1.4.x的测试将会变得轻松,因为几乎相同的测试项被用于时钟通道的数据测量,因此,接下来,仅着重对其中的不同点进行讨论;

        由于小编的工程应用中,不需要时钟工作于LP模式,因此,本章节中部分测试项未举出实例波形,可参考1.3.x的对应测试项:

        MIPI D-PHY TX一致性测试详解 part 01

测试项详解

Test 1.4.1 – Clock Lane HS Entry: TLPX Value

        注意事项:时钟通道TLPX值使用与数据通道TLPX值相同的方法进行测量(见测试1.3.1),并且适用相同的一致性要求;

        判定标准:TLPX ≥ 50ns

07954bed627e450a8e64136a8d9daa72.png

Test 1.4.2 – Clock Lane HS Entry: TCLK-PREPARE Value

        注意事项:该测试的方法基本上与1.3.2中的数据通道THS-PREPARE测试相同,但是,应用了不同的一致性限制要求;

        判定标准: 38ns ≤ TCLK-PREPARE ≤ 95 ns

bd33520b660b4e558049465e3a0391d1.png

Test 1.4.3 – Clock Lane HS Entry: TCLK-PREPARE+TCLK-ZERO Value

        注意事项:该测试的方法基本上与1.3.3中的数据通道THS-PREPARE+ZERO测试相同,但是,应用了不同的一致性限制要求;

        判定标准: TCLK-PREPARE + TCLK-ZERO ≥ 300ns

dea657d64fef47f5ad86b4b58ebb4a10.png

Test 1.4.4 – Clock Lane HS-TX Differential Voltages (VOD(0), VOD(1))

        注意事项:

        1)此测试的方法在本质上与1.3.4中的数据通道VOD测试相似,但是使用不同的参考数据码型,由于时钟仅包含“1010”格式的数据,因此测量时钟的VOD(1)和VOD(0) 的参考码型分别为0110

        2)此外,与数据通道在参考码型的第4位和第5位比特位中心之间平均采样不同,时钟通道将简单地测量参考码型的第2比特位中心的电压,以确定VOD(1)和VOD(0)水平;

        判定标准:140mV ≤ VOD(1) ≤ 270mV;-270mV ≤ VOD(0) ≤ -140mV

Test 1.4.5 – Clock Lane HS-TX Differential Voltage Mismatch (ΔVOD)

        注意事项:此测试的方法与1.3.5中的Data Lane ΔVOD测试相同,使用1.4.4的Clock Lane VOD结果执行测量;

        判定标准:ΔVOD ≤ 14mV;

        测试实例如下图所示:

9f2d531821ff4adca03966b17536080a.png

Test 1.4.6 – Clock Lane HS-TX Single-Ended Output High Voltages (VOHHS(DP), VOHHS(DN))

        注意事项:

        1)此测试的方法类似于1.3.6中的数据通道VOHHS(DP/DN)测试,并且,使用01码型而不是011111码型(时钟通道上不存在);

        2)此外,VOHHS值被测量为“1”位中心的电压电平(类似于时钟通道VOD(1)测量,参见测试1.4.4);

        判定标准:VOHHS(DP&DN) ≤ 360mV

        测试实例如下图所示:

df6c7cac57b243b58ffd47effa0d8a94.png

Test 1.4.7 – Clock Lane HS-TX Static Common-Mode Voltages (VCMTX(1), VCMTX(0))

        注意事项:此测试的方法与1.3.7中的数据通道VCMTX测试相同;

        判定标准:150mV ≤ VCMTX(1&0) ≤ 250mV

Test 1.4.8 – Clock Lane HS-TX Static Common-Mode Voltage Mismatch (ΔVCMTX(1,0))

        注意事项:此测试的方法与1.3.8中的数据通道ΔVCMTX(1,0)测试相同,并且,使用1.4.7时钟通道VCMTX结果执行测量;

        判定标准:ΔVCMTX(1,0) ≤ 5mV

        测试实例如下图所示:

0edb729bb7474fdb87f2e2dc9eff75ba.png

Test 1.4.9 – Clock Lane HS-TX Dynamic Common-Level Variations Between 50-450MHz (ΔVCMTX(LF))

        注意事项:此测试的方法与1.3.9中的数据通道ΔVCMTX(LF)测试相同;

        判定标准:∆VCMTX(LF) ≤ 25mVPEAK

        测试实例如下图所示:

a39abd1dd7b344d28f3f3b298ea9860d.png

Test 1.4.10 – Clock Lane HS-TX Dynamic Common-Level Variations Above 450MHz (ΔVCMTX(HF))

        注意事项:此测试的方法与1.3.10中的数据通道ΔVCMTX(HF)测试相同;

        判定标准:∆VCMTX(HF) ≤ 15mVRMS

        测试实例如下图所示:

7a497be98387404caab5e965f4061653.png

Test 1.4.11 – Clock Lane HS-TX 20%-80% Rise Time (tR)

Test 1.4.12 – Clock Lane HS-TX 80%-20% Fall Time (tF)

        注意事项:此测试的方法本质上类似于1.3.11&2中的数据通道边沿时间测试,只是参考码型发生了变化;

        判定标准:

        1)HS数据速率 ≤ 1Gbps时,150ps ≤ tR&tF ≤ 0.3UI;

        2)1Gbps < HS数据速率 ≤ 1.5Gbps时,100ps ≤ tR&tF ≤ 0.35UI;

        3)HS数据速率 > 1.5Gbps时,50ps ≤ tR&tF ≤ 0.4UI;

        测试实例如下图所示:

1f134e35acac467a8dfea9e376969229.png

Test 1.4.13 – Clock Lane HS Exit: TCLK-TRAIL Value

        注意事项:此测试的方法基本上与1.3.13的数据通道THS-TRAIL测试相同,采用不同的一致性限制要求;

        判定标准:TCLK-TRAIL ≥ 60ns

ef8c90221c814b888d470daf2424b7ce.png

Test 1.4.14 – Clock Lane HS Exit: 30%-85% Post-EoT Rise Time (TREOT)

        注意事项:此测试的方法基本上与1.3.14中的数据通道TREOT测试相同;

        判定标准:TREOT ≤ 35ns

Test 1.4.15 – Clock Lane HS Exit: TEOT Value

        注意事项:此测试的方法基本上与1.3.15中的数据通道TEOT测试相同;

        判定标准:TEOT ≤ 105ns + 12UI

2c4c628f8318479abedb9a830f39b74e.png

Test 1.4.16 – Clock Lane HS Exit: THS-EXIT Value

        注意事项:此测试的方法基本上与1.3.16中的数据通道THS-EXIT测试相同;

        判定标准:THS-EXIT ≤ 100ns

713119994eb64a1d9c3c287df243fef7.png

Test 1.4.17 – Clock Lane HS Clock Instantaneous UI (UIINST)

        注意事项:差分时钟通道波形将被计算为正、负单端时钟通道波形(VDP-VDN)的差,每个UI值将被测量为差分波形连续两次穿越0V之间的差值,并记录观察到的HS UI的最大值、最小值和平均值;

        判定标准: UIINST,MIN ≤ UIINST ≤ 12.5ns

26555262ecf7479e8590ffba8df7ee20.png

Test 1.4.18 – Clock Lane HS Clock Delta UI (ΔUI)

        注意事项:用于计算1.4.17值的偏差,即相对于时钟标准UI的抖动,计算公式如下:

        1)UI_Variant_min = ((UIinst_min - Uiinst_mean) / UIinst_mean) * 100%

        2)UI_Variant_max = ((UIinst_max - Uiinst_mean) / UIinst_mean) * 100%

        判定标准:

        1)HS数据速率 <= 1Gbps时,–10% ≤ peak ΔUI ≤ +10%;

        2)1Gbps < HS数据速率 <= 1.5Gbps时, –5% ≤ peak ΔUI ≤ +5%;

        测试实例如下图所示:

2ff3bd30f4204c55aad88aa7989ff6ba.png

  • 5
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
Vivado IP核是Xilinx公司开发的一种可配置的IP核生成工具,可以用于快速生成各种功能的IP核。其中,MIPI D-PHY是一种用于手机、摄像头、显示器等设备的高速串行接口协议。 MIPI D-PHY测试可以通过使用Vivado IP核生成MIPI D-PHY核,并在FPGA平台上进行测试来完成。首先,我们需要在Vivado中创建一个新的项目,选择适当的FPGA型号和开发板。然后,通过Vivado IP核生成我们所需要的MIPI D-PHY核,并将其添加到我们的项目中。 在项目中添加MIPI D-PHY IP核后,我们可以对其进行配置,并将其连接到其他逻辑电路或外部设备。配置参数包括数据通道的位宽、时钟频率、电源电压等。我们还可以配置其他相关的设置,如时钟延迟、电源方案等。 完成配置后,我们可以执行仿真来验证MIPI D-PHY的功能。可以通过发送和接收模拟数据来模拟实际的通信过程,并检查传输的正确性和稳定性。仿真结果应该与预期的规格要求相符。 完成仿真后,可以通过将设计生成比特流文件并下载到FPGA平台上来进行硬件验证。在FPGA上运行实际的测试数据,观察MIPI D-PHY的性能指标,例如误码率、数据传输速率等。这些指标应该与设计规格及MIPI D-PHY协议相符。 总结来说,通过使用Vivado IP核生成MIPI D-PHY核,并在FPGA平台上进行测试,我们可以验证MIPI D-PHY核的功能和性能,确保其正常工作并符合规格要求。
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

一只豌豆象

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值