FPGA_verilog_语句

一 语句

1.1语句_if else条件分支语句

表示形式:

               形式1:

                          if(<条件表达式>)

                              语句或语句块;

              形式2:(常用形式)

                          if(<条件表达式>)

                              语句或语句块;

                          else

                              语句或语句块;

              形式3:(易混乱)
                        if(<条件表达式>)

                            if

                            else

                      else

                              语句或语句块;

1.2语句_case分支控制语句

与使用if else语句相比,采用case分支控制语句实现多路控制显得更为方便与直观。

表示形式:

                case(<控制表达式>)

                        <分支语句1>:语句块1;

                        <分支语句2>:语句块2;

                        <分支语句3>:语句块3;

                        <分支语句4>:语句块4;

                       .

                       . 

                       .

                        <分支语句n>:语句 ;

                        default:语句块n+!‘;

                       endcase         

  • 9
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值