六、1 TIM输出比较、PWM

1、英文缩写

OC(Output Compare)输出比较

IC(Input Capture)输入捕获

CC(Capture/Compare)输入捕获和输出比较单元

CNT计数器

CCR(Capture/Compare Register)捕获/比较寄存器

REF(reference)参考信号

2、PWM

(1)电机和LED灯这种断电后不会立即停止工作的惯性系统才可以使用PWM

通过调节一个周期内高电平与低电平的比例,可以让LED呈现不同亮度,或让电机维持不同的转速

(2)PWM参数

①频率 = 1 / Ts (一般在几K到几十KHz)

PWM的频率越快,它等效模拟的信号就越平稳,但同时性能开销就越大

②占空比 = Ton / Ts (一个周期内,高电平所占比例)

③分辨率 = 占空比变化步距(占空比变化的精细程度)

若占空比只能是1%、2%、3%等这样以1%的步距跳变,分辨率就为1%

若占空比只能是1.1%、1.2%、1.3%等这样以1%的步距跳变,分辨率就为0.1%

3、PWM基本结构


4、PWM参数计算

①PWM频率 = 计数器的更新频率

即 Freq = CK_PSC / (PSC + 1) / (ARR + 1)

②PWM占空比:Duty = CCR / (ARR + 1)

如:30 / (99+1)= 30%

③PWM分辨率(ARR越大,CCR的范围就越大,对应的分辨率就越大)

Reso = 1 / (ARR + 1)

(这个值越小越好,也就是ARR的值越大越好)

5、

(1)舵机

棕色线电源负,红色线电源正,橙色线是信号线

(2)直流电机

要在STM32TIM1通道上输出PWM信号,可以按照以下步骤进行配置: 1. 配置TIM1时钟使能,使能GPIO时钟,配置GPIO引脚为复用模式。 2. 配置TIM1为PWM模式,设置计数器自动重载和预分频器,设置PWM输出比较。 3. 配置PWM输出通道的工作模式和极性,使能PWM输出通道。 下面是一个简单的示例代码: ```c // 使能TIM1时钟和GPIO时钟 RCC_APB2PeriphClockCmd(RCC_APB2Periph_TIM1, ENABLE); RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE); // 配置GPIO引脚为复用模式 GPIO_InitTypeDef GPIO_InitStructure; GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_100MHz; GPIO_InitStructure.GPIO_OType = GPIO_OType_PP; GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP; GPIO_Init(GPIOA, &GPIO_InitStructure); // 将GPIO引脚与TIM1通道1复用 GPIO_PinAFConfig(GPIOA, GPIO_PinSource8, GPIO_AF_TIM1); // 配置TIM1为PWM模式 TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure; TIM_TimeBaseStructure.TIM_Period = 999; // 计数器自动重载 TIM_TimeBaseStructure.TIM_Prescaler = 83; // 预分频器 TIM_TimeBaseStructure.TIM_ClockDivision = TIM_CKD_DIV1; TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up; TIM_TimeBaseInit(TIM1, &TIM_TimeBaseStructure); // 配置PWM输出比较 TIM_OCInitTypeDef TIM_OCInitStructure; TIM_OCInitStructure.TIM_OCMode = TIM_OCMode_PWM1; TIM_OCInitStructure.TIM_OutputState = TIM_OutputState_Enable; TIM_OCInitStructure.TIM_Pulse = 500; // PWM输出比较 TIM_OCInitStructure.TIM_OCPolarity = TIM_OCPolarity_High; TIM_OC1Init(TIM1, &TIM_OCInitStructure); // 配置PWM输出通道的工作模式和极性 TIM_BDTRInitTypeDef TIM_BDTRInitStructure; TIM_BDTRInitStructure.TIM_OSSRState = TIM_OSSRState_Enable; TIM_BDTRInitStructure.TIM_OSSIState = TIM_OSSIState_Enable; TIM_BDTRInitStructure.TIM_LOCKLevel = TIM_LOCKLevel_OFF; TIM_BDTRInitStructure.TIM_DeadTime = 0; TIM_BDTRInitStructure.TIM_Break = TIM_Break_Disable; TIM_BDTRInitStructure.TIM_BreakPolarity = TIM_BreakPolarity_Low; TIM_BDTRInitStructure.TIM_AutomaticOutput = TIM_AutomaticOutput_Enable; TIM_BDTRConfig(TIM1, &TIM_BDTRInitStructure); // 使能PWM输出通道 TIM_CCPreloadControl(TIM1, ENABLE); TIM_Cmd(TIM1, ENABLE); ```
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值