平头哥开源SOC—E906的仿真验证(一)

  • 一. 下载官方E906源码

    下载地址:https://github.com/T-head-Semi/opene902

    官方文档里面有一些指导文档可供参考。

    二. 搭建开发环境

    2.1. 安装虚拟机Vmware

    2.2. 安装Linux

    Linux使用的是Ubuntu20.04

    三. 修改Shell脚本

    3.1. 查询shell的版本

    Echo $SHELL(注意SHELL大写)

    3.2. 安装csh脚本

    apt-get install csh

    3.3. 脚本的切换

    3.3.1. 查询当前已有的脚本

    cat /etc/shells

    3.3.2. 切换脚本

    根据上述获取的脚本路径执行一下操作。

    /bin/bash

    /bin/csh

    四. 安装编译工具

    4.1. 下载编译工具

    https://occ.t-head.cn/community/download?id=3948120165480468480

    根据当前的开发环境选择对应版本的编译工具,如下图框选。

    4.2. 解压安装

    sudo tar xvzf 文件名-C /(解压到根目录)

    五. 配置环境

    5.1. 设置代码基准路径

    1、进入到工程目录:cd E906_RTL_FACTORY

    2、执行脚本命令:source setup/setup.csh

    5.2. 设置编译工具环境变量

    1、进入smart_run/setup文件夹下

    2、编辑example_setup.csh文件,将工具链安装的绝对地址替换在下图框选位置。

    3、执行脚本命令:source example_setup.csh

    六. 编译代码

    6.1. 安装iverilog

    sudo apt-get install iverilog

    6.2. Showcase

    1、回到smart_run文件夹中执行make showcase 看下当前有哪些case可以编译。

     

    6.3. Buildcase

    make buildcase CASE=hello_world

    6.4. Runcase

    make runcase CASE= hello_world

    注:以上命令需要在csh脚本下运行。

    七. 波形仿真

    7.1. 安装gtkwave

    7.2. 修改tb代码

     7.3. 仿真vcd波形文件

    进入到glitch.vcd所在的工程目录

    运行指令:gtkwave  glitch.vcd

 

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 11
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

剑藏锋

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值