Verilog数字系统设计教程第三部分练习三

一、题目:

利用10MHz的时钟,设计一个单周期形状的周期波形。前20us为低电平,中间10us为高电平,后20us为低电平,周期为50us。

二、程序语句:

//程序代码:
module single_period(clk_in, rst, clk_out);
input clk_in, rst;
output clk_out;
reg clk_out;
reg [9:0]j;

always @(posedge clk_in)
	begin
		if(!rst)                                //同步低电平置位端
			begin
				j <= 0;
				clk_out <= 0;
			end
		else if(j == 199)                        //第20us时翻转
					begin
						j <= j+1;
						clk_out <= ~clk_out;
					end
		else if(j == 299)                        //第30us时再次翻转
					begin
						j <= j+1;
						clk_out <= ~clk_out;
					end
		else if(j == 499)                        //第50us时归零
					begin
						j <= 0;
						clk_out <= 0;
					end
		else
			j <= j+1;
							
	end

endmodule

三、testbench语句:

`timescale 1 ns/ 100 ps             
  • 2
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值