Verilog数字系统设计教程第三部分练习七

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档

前言

(1)掌握任务在Verilog模块设计中的应用;

(2)学会在电平敏感列表的always中使用拼接操作、任务和阻塞赋值等语句,并生成复杂组合逻辑的高级方法。


一、4个并行输入数的高速排序组合逻辑

1.1 程序语句:

module sort4_1(ra,rb,rc,rd,a,b,c,d);
output [3:0]ra,rb,rc,rd;
input [3:0]a,b,c,d;
reg [3:0]ra,rb,rc,rd;
reg [3:0]va,vb,vc,vd;							//设置中间变量

always @(a or b or c or d)
	begin
		{va,vb,vc,vd} = {a,b,c,d};				//给中间变量赋值
		sort2(va,vc);								//将va和vb按从小到大排列
		sort2(vb,vc);
		sort2(va,vb);
		sort2(vc,vd);
		sort2(vb,vc
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值