useful systemverilog system tasks

Useful SystemVerilog System Tasks
Task NameDescription
$sscanf(str,format,args);

$sscanf 将字符串按照某个模板格式进行扫描,其字符串格式和C语言中的printf()函数类似

$sformat(str,format,args);

sformat是sformat是sscanf的反函数。将字符串按照给定的格式填入相应的参数args中

$display(format,args);

$display就是Verilog的printf语句,在stdout上显示格式化的字符串

$sformatf(format,args);

sformatf任务和sformatf任务和sformat相似,除了其返回字符串结果。字符串作为sformatf的返回值,而不是像sformatf的返回值,而不是像sformt一样放在第一个参数上。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值