SystemVerilog中$sformat和$formatf的用法

systemverilog中sformat和sformatf的用法有哪些?
1.基本使用方法
首先查看一下这两个函数什么含义。看下这段代码:

string inside_string;
string outside_string;
$sformat(inside_string, “1.value==%0d” , 100);
$display(“1.%0s”, inside_string);
outside_string=$sformatf(“2.value==%0d” , 100);
$display(“2.%0s”, outside_string);

上面的两个$display打印出来的结果如下所示:

1.value==100
2.value==100

首先sformatf() / sformat()这两个函数是不做打印的事儿的,不要以为这两个函数是做打印的!
原来这两个函数就是整理字符串的格式啊!按照函数里“ ”中的格式,把相应的变量填进去。
那sformatf() / sformat()什么区别?
说白了,区别就是整理好的字符串往哪里放的问题!
sformat()比sformatf()多了第一个参数,这个第一个参数就是放最终整理好的字符串的容器。
sformat()会直接把整理好的字符串放到第一个字符串类型的参数中。
sformatf()返回的值就是整理好的字符串。

sformatf()也可以这样写:
$display($sformatf(“1.value==%0d” , 100));

sformat()必须调用变量。
$display(“2.value==%0d” , 100);

比如我们在UVM验证平台常用的uvm_info宏的打印方式:

`uvm_info(“inside_string”,”1.value=100”,UVM_LOW)`

第二个参数是打印信息,我们如果需要打印变量值就需要使用他们整理好字符串,比如:

`uvm_info(“outside_string”,$sformatf(“2.value==%0d” , 100),UVM_LOW)`

2.巧妙的使用方法

bit num_in[32];
foreach(num_in[i])
begin
  if($test$plusargs($sformatf(“num_%0d”,i)))
    $dispaly("num_in[%d]=1", i);
end
结果如下
+num_0

num_in[0]=1

  • 12
    点赞
  • 69
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值