Verilog-RTL-有限状态机的设计

Verilog RTL-有限状态机的设计

自动售饮料机

设计一个自动售饮料机,饮料售价2.5元,可使用5角和1元硬币,带自动找零功能

Mooree FSM

状态转换图-Moore FSM

在这里插入图片描述

Verilog -三段式代码风格
`timescale 1ns/1ns
module drink_status_moore(
	input clk,
	input reset,
	input half,
	input one,
	output out,
 	output cout
	);

	parameter [2:0] S0=3'b000,
			S1=3'b001,
			S2=3'b010,
			S3=3'b011,
			S4=3'b100,
			S5=3'b101,
			S6=3'b110;

	reg [2:0] curr_state
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值