轻松搭建FPGA开发环境:第三课——Vivado 库编译与设置说明

工欲善其事必先利其器,很多人想从事FPGA的开发,但是不知道如何下手。既要装这个软件,又要装那个软件,还要编译仿真库,网上的教程一大堆,不知道到底应该听谁的。所以很多人还没开始就被繁琐的开发环境搭建吓退了,还没开始就放弃了!

笔者用几节课的时间,从头讲解FPGA开发的相关环境如何搭建,让大家都能轻松的搭建FPGA的开发环境,从而享受FPGA开发乐趣。

本节主要讲解如何编译Vivado的仿真库文件,以及如何在modelsim中如何配置。

  • Vivado 库编译

首先,在 Modelsim 安装路径 D:\modeltech64_10.5 下新建一个文件夹 Vivado_Library,

用于一会编译 Vivado 的库文件所存放的文件夹。

打开 Vivado,点击菜单 Tools-Compile Simulation Libraries…

如图进行设置,注意 Compiled library location 设置D:\modeltech64_10.5\Vivado_Library, 然后点击 Compile 进行库编译。

开始编译,要等待一会,一般需要半个小时以上。

完成编译后,可以看到当前 D:\modeltech64_10.5\Vivado_Library 文件夹下出现了很编译译好的库文件。

  • 配置编译库路径

用UE打开 D:\modeltech64_10.5\Vivado_Library 文件夹下的 modelsim.ini 文件

搜索到下面这句话:

;mvc_lib = $MODEL_TECH/../mvc_lib

接着从以下这行代码开始复制库的路径信息:

secureip = D:/modeltech64_10.5/Vivado_Library/secureip

一直复制到[DefineOptionset]上面一行(注意不包括这一行)为止。

回到 D:\modeltech64_10.5 路径下,找到 modelsim.ini 文件,右键单击,弹出菜单中选择“属性”。

去掉“只读”前面的勾选,然后点击“确定”。

用UE打开这个 modelsim.ini 文件,找到下面这行代码:

modelsim_lib = $MODEL_TECH/../modelsim_lib

将前面复制的库文件路径粘贴到 modelsim_lib = $MODEL_TECH/../modelsim_lib 这行的

下面。

保存文件,关闭即可。

打开 ModelSim SE-64 10.5,可以在 Library 下面出现了刚才添加的所有库文件信息,Vivado库配置成功,后续有关Vivado IP核的仿真可以直接调用相关的仿真文件即可。

  • 13
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
要在Vivado编译Modelsim,可以按照以下步骤进行操作: 1. 打开Vivado软件,并确保已经安装了Modelsim。 2. 在Vivado中打开你的项目。 3. 在Vivado的Tcl控制台中输入以下命令来编译Modelsim: ``` compile_simlib -simulator modelsim ``` 这个命令会自动编译Vivado项目所需的Modelsim。 4. 等待编译过程完成。一旦编译完成,你将在Vivado项目的工作目录中找到一个名为"sim"的文件夹,其中包含了编译好的Modelsim。 5. 打开Modelsim软件。 6. 在Modelsim中,点击菜单栏的"Library",然后选择"Add"。 7. 在弹出的对话框中,选择你的Vivado项目的工作目录中的"sim"文件夹。 8. 点击"OK"来添加该文件夹作为Modelsim的。 9. 现在,你可以在Modelsim中使用Vivado编译进行仿真了。 请注意,以上步骤是基于Vivado 2018.2和Modelsim-SE10.7的版本。如果你使用的是其他版本,可能会有些许差异。确保你按照你所使用的软件版本的文档进行操作。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* *3* [Modelsim与Vivado编译](https://blog.csdn.net/qq_40039676/article/details/110945287)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Vivado与Modelsim联合仿真配置【图文并茂】](https://blog.csdn.net/lonkyzhang/article/details/125840825)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值