verilog学习一

本文介绍了Verilog作为硬件描述语言的基本概念,包括模块的结构、功能定义、变量类型和运算符,强调了非阻塞赋值在时序逻辑中的应用,并概述了条件和循环语句的使用。
摘要由CSDN通过智能技术生成

1.verilog是硬件描述语言,归根结底是一种语言。类比C语言,C语言是用来写程序,描述一个算法。而verilog是硬件描述语言,即用它写的代码描述了一个电路系统结构(门级互联的结构模块),这个电路系统实现了一定的电路功能(功能行为模块)。

2.用verilog硬件描述语言所建立的电路系统模型由若干个模块(子模块)组成。类比C语言中子程序。

3.verilog模块可以有几种不同表达方式:

(1)仅仅描述逻辑功能,不关心内部具体结构;

(2)描述逻辑表达式;

(3)描述电路结构(具体的与或非门电路),与具体的逻辑元件对应。

4.综合:上述由方式(1)通过方式(2)自动转换为方式(3)。

而方式(3)的描述与具体的元件相对应,因此容易转变成具体的电路布线结构。

5.实例化(实例引用):调用(原语)库中定义好的元件或模块。类比C语言中调用库函数。

6.系统设计人员只需要关注逻辑功能,不需要关注具体元件细节,因为通过综合可以转换,进而提高设计效率。

7.关于仿真和测试:有待理解。

1. 模块的结构:

module 模块名(口1,口2,口3,……);   /*端口定义*/<

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值