VL4 移位运算与乘法

VL4 移位运算与乘法

这里有一个细节
一个数A做乘法,每乘一次2,就等于这个数A的二进制左移一位
比如,7的二进制是0111,那么72的操作就是0111左移一位变成1110,就是十进制的14
而这里的乘以3可以根据分配律来看
假设一个数A乘以3可以写成A
3=A*(2+1),也就是左移一次,然后再加上这个数

那么同理A4是左移两位
那么乘以7也是同理,A
7=A*(2+4+1),就是左移一位,左移两位,再加原先这个数

那么机智的你一定发现,乘以8就是把这个数的二进制左移三位

verilog代码

`timescale 1ns / 1ps

module vl4(
    input [7:0]d ,
    input clk,
    input rst,
    output reg input_grant,
    output reg [10:0]out
    );
    reg [1:0]state;
    reg [7:0]d1;
    always@(posedge clk or negedge rst)  begin 
        if(~rst) begin
            input_grant <= 0;
            out <= 10'b0;
            state <= 0;
            d1 <=7'b0;
            end
         else begin
             if (input_grant == 1) 
                    input_grant <= 0;
              else 
                    input_grant <= input_grant;
            case(state)
             0://d乘1,用d1将d的值锁存进去
             begin
                 out <= d;
                 d1 <= d;
                 input_grant <= 1;
                 state <= 1;

             end
              
             1://d乘3
             begin
             out <= d1 + {d1,1'b0};
             state <= 2;
             end
              
             2://d乘7
             begin
             out <= d1 + {d1,1'b0} + {d1,2'b00};
             state <= 3;
             end
              
             3://d乘8
             begin
             out <= {d1,3'b000};
             state <= 0;
             end
           
       endcase
         end
     end

    
endmodule

TB

`timescale 1ns / 1ps
module vl4_tb();

reg [7:0]d ;
reg clk;
reg rst;
wire input_grant;
wire [10:0]out;


vl4 vl4_tb_1(
    .d(d) , 
    .clk(clk),         
    .rst(rst), 
    .input_grant(input_grant),
    .out(out)   
);
    real CYCLE_200MHz = 10 ; 
    always begin
        clk = 0 ; #(CYCLE_200MHz/2) ;
        clk = 1 ; #(CYCLE_200MHz/2) ;
    end
    
    initial begin
    rst = 0; d = 0;
    #10  rst = 1;d = 143;
    #40; d = 7;#40;
    $stop;
    end

endmodule

波形图
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值