VL4-移位运算与乘法

一、题目描述

已知d为一个8位数,请在每个时钟周期分别输出该数乘1/3/7/8,并输出一个信号通知此时刻输入的d有效(d给出的信号的上升沿表示写入有效)

信号示意图:
在这里插入图片描述
波形示意图:
在这里插入图片描述

输入描述:
输入信号 d, clk, rst; 类型 wire
在testbench中,clk为周期5ns的时钟,rst为低电平复位

输出描述:
输出信号 input_grant out; 类型 reg

二、实现思路

首先由题意可知为时序逻辑且为异步复位

always @ (posedge clk or negedge rst) begin
    if (~rst) begin
        out<=11'b0;
        input_grant<=1'b0;
              end
    else begin
//*************code***********//

//*************code***********//
        end
  end

其次,每个时钟周期分别输出该数乘1/3/7/8 (四个状态)
且该数乘1时,input_grant为1
FSM 有限状态机思想可得

reg [1:0] count; //2位有0 1 2 3 四种状态
always @ (posedge clk or negedge rst) begin
    if (~rst) begin
        count<=2'b0;
              end
    else begin
        count<=count+1'b1;
        end
    end

三、代码展示

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
//*************code***********//
reg [1:0] count;
always @ (posedge clk or negedge rst) begin
    if (~rst) begin
        count<=2'b0;
              end
    else begin
        count<=count+1'b1;
        end
    end
 
 reg [7:0] d_temp;
 always @ (posedge clk or negedge rst) begin
    if (~rst) begin
        out<=11'b0;
        input_grant<=1'b0;
        d_temp<=8'b0;
              end
    else begin
        case (count)
        2'b00 : begin
            out<=d;
            d_temp<=d;
            input_grant<=1'b1;
            end
        2'b01 : begin
            out<=d_temp+{d_temp,1'b0}; //左移一位乘2,再加上本身就是3倍
            // out <= (d_temp<<2)-d_temp;
            input_grant<=1'b0;
            end
        2'b10 : begin
            out<=d_temp+{d_temp, 1'b0}+{d_temp, 2'b0}; //左移二位乘4+左移一位乘2,再加上本身就是7倍
            // out <= (d_temp<<3)-d_temp;
            input_grant<=1'b0;
            end
       2'b11 : begin
            out<={d_temp, 3'b0}; //左移三位乘3就是8倍
            //out <= (d_temp<<3);
            input_grant<=1'b0;
            end
        default : begin
            out<=d;
            input_grant<=1'b0;
            end
        endcase
    end   
 end
//*************code***********//
endmodule

为什么要加 reg [7:0] d_temp; 而不是直接对d进行操作呢?
放一张别人分析的图,一目了然
在这里插入图片描述

引用这位的话:如下图所示的红框和绿框内的数据非常关键。如果对输入的d在连续的4个时钟周期内分别进行d*1、d*3、d*7d*8操作,那么当出现如红框内所示的6时,这个数据只持续了1个clk,显然这时候做的操作是:
6*1、128*3、129*7、129*8,和预期不符。
所以引入 reg [7:0] d_temp;的作用是保证做的移位乘法都是基于第一次的输入
所以我们做题的时候要一定要结合题目的文字描述和波形示意图进行分析

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

大西瓜的科研日记

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值