移位运算与乘法

 

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
    reg [1:0] cnt;
    reg [7:0] din;   
    always @(posedge clk or negedge rst) begin
        if (!rst) begin
            cnt<=0;
            out<=0;
            input_grant<=0;
            din<=0;
        end
        else begin
 //    cnt<=cnt+1;
            case (cnt)
                0: begin
                    din<=d;
                    input_grant<=1;
                    out<=d;
                    cnt<=cnt+1;
                end
                1: begin
                    input_grant<=0;
                    out<=(din<<1)+din;
                    cnt<=cnt+1;
                end
                2: begin
                    input_grant<=0;
                    out<=(din<<3)-din;
                    cnt<=cnt+1;
                end
                3: begin
                    input_grant<=0;
                    out<=(din<<3);
                    cnt<=0;
                end
            endcase
        end
    end
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值