verilog有符号加法

本模块默认以补码的形式输入有符号数
tb:

`timescale 1ns/1ps
module name ();
reg clk;
reg rst_n;
reg [3:0] data_in;
reg data_vld_in;
wire [5:0] data_o;
wire 	   data_vld_o;

initial
begin 
clk=0;
rst_n=0;
#200;
rst_n=1;
end

always #10 clk=~clk;

initial
begin 
data_in=4'd0;
data_vld_in=0;
#500;
send(-4'd2);
send(4'd1);
send(-4'd1);
send(4'd1);
end

task send;
	input[3:0] data;
	begin
	data_in=data;
	@(negedge clk)
	begin
	data_vld_in=1;
	end
	@(negedge clk)
	begin
	data_vld_in=0;
	end
	#50;
	end
endtask

signed_add signed_add(
	.clk(clk),
	.rst_n(rst_n),
	.data_in(data_in),
	.data_vld_in(data_vld_in),
	.data_o(data_o),
	.data_vld_o(data_vld_o)
	);

endmodule

verilog:

//默认给进来的数就是补码形式的
module signed_add (
	input 			clk,    // Clock
	input 			rst_n,  // Asynchronous reset active low
	input 	  [3:0] data_in,
	input 			data_vld_in,
	output wire[5:0] data_o,
	output reg 	data_vld_o
);
reg [3:0] data_in_reg;
reg 	  vld_reg;
always @(posedge clk or negedge rst_n) begin
	if(~rst_n) begin
		 data_in_reg<= 0;
		 vld_reg    <= 0;
	end else begin
		 data_in_reg<= data_in;
		 vld_reg  	<= data_vld_in;
	end
end

reg[1:0] data_cnt;
always @(posedge clk or negedge rst_n) begin
	if(~rst_n)
		 data_cnt<= 0;
	else if(vld_reg)
		 data_cnt<= data_cnt+1 ;
	else
		 data_cnt<=data_cnt;
end

reg[5:0] data_o_reg;
always @(posedge clk or negedge rst_n) begin
	if(~rst_n)
		 data_o_reg<= 0;
	// else if(data_cnt==2'd0)
	// 	 data_o_reg<={{2{data_in_reg[3]}},data_in_reg[3:0]};
	else if (vld_reg)
		 data_o_reg<=data_o_reg+{{2{data_in_reg[3]}},data_in_reg[3:0]};
end

always @(posedge clk or negedge rst_n) begin
	if(~rst_n)
		 data_vld_o<= 0;
	else if(data_cnt==2'd3&&vld_reg)
		 data_vld_o<= 1;
	else
		 data_vld_o<= 0;
end

assign data_o=(data_cnt==2'd0)?data_o_reg:6'd0;


endmodule

波形图:
在这里插入图片描述

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,有符号数的加法可以通过使用`+`操作符来实现。在Verilog代码中,可以使用`signed`关键字来声明有符号数变量。例如,如果要实现一个有符号加法器,可以定义两个有符号数输入`a`和`b`,然后使用`+`操作符将它们相加并将结果赋给一个有符号数输出变量`sum`。下面是一个简单的Verilog代码示例: ```verilog module signed_adder( input signed [7:0 a, input signed [7:0 b, output reg signed [8:0 sum ); always @(a or b) begin sum <= a + b; end endmodule ``` 在上面的例子中,`input signed [7:0] a`和`input signed [7:0] b`分别声明了两个有符号的8位输入变量`a`和`b`,`output reg signed [8:0] sum`声明了一个有符号的9位输出变量`sum`。`always @(a or b)`语句指定了当`a`或`b`发生变化时,执行计算和赋值操作。`sum <= a + b`语句将`a`和`b`相加的结果赋给`sum`变量。 请注意,Verilog中的有符号加法和无符号加法在语法上是相同的,但是对于有符号数的处理需要注意溢出和符号位扩展等问题,以确保正确的计算结果。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Verilog学习笔记——有符号数的乘法和加法](https://blog.csdn.net/DengFengLai123/article/details/104072423)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [verilog符号加法器设计](https://download.csdn.net/download/qq_42025108/16392840)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [verilog中有符号数和无符号数的相关运算](https://blog.csdn.net/qq_43140345/article/details/123806734)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值