初学quartus II 9.0时遇到的几个坑

1. 编译提示Can’t launch the ModelSim-Altera software

解决方法:在tools->options->EDA Tool options处,在ModelSim-Altera路径进行如图设置:
在这里插入图片描述
如果没有安装ModelSim怎么办,这里我提供一个quartus9.0各类资源的下载(由于开发公司被intel收购导致老下载地址已经失效):9.0资源下载
——建议使用modelsim-ase版本,免费且适用于初学者

2. 正确实现时序电路仿真

先声明一下,百度一查就到的那个答案是不完全的,因为它完全跳过了最后一步输入波形的设置
具体步骤如下:

1、打开QuartusⅡ软件,
2、选择File→New Project Wizard 新建一项工程。
3、单击Next进入。

(任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,要用英文的比如存在E/eda),之后会出现三个要填的,分别E/eda,COUNT,COUNT;单击Next进入下一个,first name不填,单击Next进入对话框。在该对话框中指定目标器件,next一直到finish。

4、选择File→New ——VHDL file ,将你的编程复制进去
5、File→save as,文件名和实体名一致
6、在QuartusⅡ主界面下选择Processing→Start Compilation进行全程编译,会显示“successful”
7、在QuartusⅡ主界面下选择File→New命令,打开新建文件对话框,在该对话框中选择Vector Waveform File ;
8、在Nane栏内双击鼠标左键,弹出对话框。
9、点击Node Finder 按钮5、在Filter栏选择Pins:all,点击List按钮,弹出如图所示对话框。
10、选取想要仿真的端口,再按 > 添加端口
11、设置仿真结束时间。
  • 在QuartusⅡ主界面下选择Edit→End time…,打开图示对话框,将仿真结束时间设置为20us。
12、编辑输入节点波形
  1. 选中输入端口后,在左边工具栏可自由设置波形。
13、保存仿真波形文件,File→save,按默认的保存就行
14、功能仿真。
  1. 在QuartusⅡ主界面下选择Processing→Simulate Tool
  2. 在Simulation mode 下选择Functional,点击Generate Functional Simulation Netlist按钮。点击Start按钮开始仿真。,仿真后点击Report按钮,打开仿真结果窗口(波形就出来了),在该"窗口中可以观察设计结果,功能‍仿真没有考虑器件的延迟时间。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值