Quartus II 13.0无modelsim进行仿真(用自带仿真器)

网上都说9.0后面的版本不带仿真功能,但我发现13.0还是有自带仿真。

接下来我用Quartus II 13.0进行一次简单的仿真:

1、新建文件夹test作为工程目录

2、打开Quartus,选择file -> new project wizard

page 2 of 5 跳过,我的板子型号如下,不过仿真而已,随便选个也行

 后面page 4 5 都跳过

3、file -> new -> Verilog HDL file,新建一个Verilog文件,随便写个2选1选择器,点击Start Compilation进行编译:

module test(
	input a,b,sel,
	output out
);
	assign out = sel ? a : b;
endmodule

4、file -> new -> VWF,新建一个波形文件:

双击左侧空白处添加信号

 出现如下界面,点击node finder:

 接下来点击List,左侧出现全部信号,点击>>将信号全部添加

 为三个输入信号a,b,sel设置随机值,sel的间隔设置大点,方便观察

 接下来选择Simulator -> option,将仿真器改为quartus自带仿真器

ctrl+s保存vwf文件,然后点击run functional simulation开始仿真

得出仿真结果,验证2选1选择器功能正常:

只有在simulation waveform editor这个界面,即vwf文件打开界面,才能选择并使用quartus自带仿真器,在主界面点击仿真依然要求使用别的仿真器,例如modelsim。

  • 15
    点赞
  • 82
    收藏
    觉得还不错? 一键收藏
  • 20
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 20
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值