数字IC验证:《UVM实战》笔记 - Ch2 一个简单的UVM验证平台(中) - 为验证平台加入各个组件

写在前面:

  1. 本系列为张强《UVM实战》白皮书的阅读笔记,书写得很好,很有意思,也适合入门。
  2. 本文目录序号均为书中章节编号,便于对应。
  3. 此外,本系列中的代码注释为本人补充,之后会上传到我的CSDN资源中。

2.3 为验证平台加入各个组件

2.3.1 加入transaction

driver, interface, reference model, monitor, scoreboard等各组件之间信息的传递是基于transaction的。一般来说,物理协议中的数据交换都是以帧或包为单位的,通常在一帧或者一个包里要定义好各项参数,每个包的大小不一样。transaction就是用于模拟这种实际情况,一笔transaction就是一个包。

  1. 在不同的验证平台中,会有不同的transaction。transaction的定义如下:
    在这里插入图片描述

补充:
一般来说,有生命周期的类都是派生自uvm_object或uvm_object的派生类。如uvm_sequence_item就是

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

IC Beginner

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值