HDLBits刷题合集—14 More Circuits

HDLBits刷题合集—14 More Circuits

HDLBits-117 Rule90

Problem Statement
Rule90是一个具有有趣性质的一维元胞自动机。

规则很简单。有一个一维的元胞阵列(开或关)。在每个时间步中,每个单元的下一个状态是单元的两个当前相邻单元的异或。更详细的表达这个规则的方法是下表,其中一个单元格的下一个状态是它自己和它的两个邻居的函数:

LeftCenterRightCenter’s next state
1110
1101
1010
1001
0111
0100
0011
0000

名称“Rule90”来自于读取“下一个状态”列:01011010是十进制90。

在这个电路中,创建一个512单元的系统(q[511:0]),并在每个时钟周期中前进一个时间步长。load输入表明系统状态应该载入data[511:0]。假设边界(q[-1]和q[512])都为零(off)。

代码如下:

module top_module(
    input clk,
    input load,
    input [511:0] data,
    output [511:0] q ); 
    
    always @(posedge clk)
        begin
            if (load)
                q <= data;
            else
                q <= {q[511:1]}  ^ {q[510:0], 1'b0}; 
        end
endmodule

HDLBits-118 Rule110

Problem Statement
Rule110是一维元胞自动机,具有有趣的特性。

有一个一维的元胞阵列(开或关)。在每个时间步长,每个单元格的状态改变。在Rule110中,根据下表,每个单元的下一个状态仅依赖于自身和它的两个相邻单元:

LeftCenterRightCenter’s next state
1110
1101
1011
1000
0111
0101
0011
0000

名称“Rule110”来自于读取“下一个状态”列:01101110是十进制110。

在这个电路中,创建一个512单元的系统(q[511:0]),并在每个时钟周期中前进一个时间步长。load输入表明系统状态应该载入data[511:0]。假设边界(q[-1]和q[512])都为零(off)。

代码如下:

module top_module(
    input clk,
    input load,
    input [511:0] data,
    output [511:0] q
); 

    always @(posedge clk)
        begin
            if (load)
                q <= data;
            else
            	q <= ( (q[511:0] ^ {q[510:0], 1'b0}) & q[511:1] ) | ( (q[511:0] | {q[510:0], 1'b0}) & (~q[511:1]) );
        end
        
endmodule

HDLBits-119 Conwaylife

Problem Statement
康威的《生命游戏》是一个二维的细胞自动机。

“游戏”在二维单元格网格上进行,其中每个单元格为1(生存)或0(死亡)。在每个时间步长,每个小区都会根据其具有的邻居数量来更改状态:

0-1个邻居:单元格变为0。
2个邻居:单元格状态不变。
3个邻居:单元格变成1。
4个以上邻居:单元格变为0。

该游戏适用于无限网格。在此电路中,我们将使用16x16的网格。为了使事情变得更有趣,我们将使用16x16的环形面,其侧面环绕在网格的另一侧。例如,角单元格(0,0)有8个邻居:(15,1),(15,0),(15,15),(0,1),(0,15),(1,1) ,(1,0)和(1,15)。 16x16网格由长度为256的矢量表示,其中16个单元格的每一行由子矢量表示:q [15:0]是第0行,q [31:16]是第1行,依此类推。(此工具接受SystemVerilog,因此你可以根据需要使用2D向量。)

qoad:在下一个时钟沿将数据加载到q中,以加载初始状态。
q:游戏的16x16当前状态,每个时钟周期更新一次。

游戏状态应在每个时钟周期前移一个时间步长。

数学家,生命游戏细胞自动机的创造者约翰·康威(John Conway)于2020年4月11日因COVID-19逝世。

代码参考早睡身体好~如下:

module top_module(
    input clk,
    input load,
    input [255:0] data,
    output [255:0] q ); 

    reg [255:0] q_next;
    reg [3:0]   sum;
    
    always@(posedge clk)
        if(load)
            q <= data;
    else begin
        for(int i = 0;i < 256;i++) begin 
            if(i == 0)//左上角
                sum = q[1]+q[16]+q[17]+q[240]+q[241]+q[15]+q[31]+q[255];
            else if(i == 15)//右上角
                sum = q[14]+q[16]+q[0]+q[240]+q[254]+q[30]+q[31]+q[255];
            else if(i == 240)//左下角
                sum = q[0]+q[15]+q[239]+q[241]+q[1]+q[224]+q[225]+q[255];
            else if(i == 255)//右下角
                sum = q[0]+q[15]+q[14]+q[224]+q[238]+q[240]+q[239]+q[254];
            else if(0<i & i<15)//上边界
                sum = q[i-1]+q[i+1]+q[i+15]+q[i+16]+q[i+17]+q[i+239]+q[i+240]+q[i+241];
            else if(i%16 == 0)//左边界
                sum = q[i-1]+q[i+1]+q[i+15]+q[i+16]+q[i+17]+q[i-16]+q[i-15]+q[i+31];
            else if(i%16 == 15)//右边界
                sum = q[i-1]+q[i+1]+q[i+15]+q[i+16]+q[i-17]+q[i-16]+q[i-15]+q[i-31];
            else if(240<i & i<255)//下边界
                sum = q[i-1]+q[i+1]+q[i-17]+q[i-16]+q[i-15]+q[i-239]+q[i-240]+q[i-241];
            else //非边界
                sum = q[i-1]+q[i+1]+q[i-17]+q[i-16]+q[i-15]+q[i+15]+q[i+16]+q[i+17];
      		
            case(sum) //根据邻居数量判断次态
                2 : q_next[i] = q[i];
                3 : q_next[i] = 1;
                default : q_next[i] = 0;
            endcase
        end
        	q = q_next;
    end
        
endmodule

Note
新手一枚,主要分享博客,记录学习过程,后期参考大佬代码或思想会一一列出。欢迎大家批评指正!

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值