HDLBits刷题合集—3 Vectors

HDLBits刷题合集—3 Vectors

HDLBits-11 Vector0
Problem Statement
建立一个电路,有一个3位输入,然后输出相同的向量,并把它分成三个独立的1位输出。将输出o0连接到输入向量的位置0,o1连接到位置1,o2连接到位置2。
在下图中,带有数字的标记表示向量(或“总线”)的宽度,而不是向量中的每个位绘制单独的线。

在这里插入图片描述
代码如下:

module top_module ( 
    input wire [2:0] vec,
    output wire [2:0] outv,
    output wire o2,
    output wire o1,
    output wire o0  ); // Module body starts after module declaration
    
	assign outv = vec;
    assign o0 = vec[0];
    assign o1 = vec[1];
    assign o2 = vec[2];
    
endmodule

HDLBits-12 Vector1
Problem Statement
建立一个组合电路,将一个输入的半个字(即一个32位的字,取16位,[15:0]),分成[7:0]和[15:8]两个字节。

代码如下:

`default_nettype none     // Disable implicit nets. Reduces some types of bugs.
module top_module( 
    input wire [15:0] in,
    output wire [7:0] out_hi,
    output wire [7:0] out_lo );
    
    assign out_hi = in[15:8];
    assign out_lo = in[7:0];
    
endmodule

HDLBits-13 Vector2
Problem Statement
32位向量可以看作包含4个字节(分别是[31:24]、[23:16]、[15:8]和[7:0]等)。建立一个电路,将4字节字的顺序方向。如下所示:
AaaaaaaaBbbbbbbbCcccccccDddddddd=>DdddddddCcccccccBbbbbbbbAaaaaaaa
当需要交换一段数据的顺序时,通常会使用这种操作。

代码如下:

  • 5
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值