Verilog HDL语言实现乘法器(Wallace)

Verilog HDL语言实现乘法器(Wallace)

核心思想

乘法主要由加法和移位构成,通过进位保留实现进位的传递。

代码

假设输入为4bit的两个数据 a, b:

module Mul_4(a,b,out

    );
    
    input [3:0] a,b;
    output [7:0] out;
    
    wire [7:0] P1,P2,P3,P4,S1,S2,C1,C2;

    assign P1 = b[0]?a:4'b0;
    assign P2 = b[1]
  • 1
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值