1,FPGA_Verilog基础篇:Verilog发展进程

///

1,FPGA_Verilog基础篇:Verilog发展进程-CSDN博客

2,FPGA_Verilog基础篇:理解Verilog的四值逻辑-CSDN博客

3,FPGA_Verilog基础篇:Verilog中数值的表示-CSDN博客

4,FPGA_Verilog基础篇:信号声明类型-CSDN博客

5,FPGA_Verilog基础篇:模块的端口声明-CSDN博客

6,FPGA_Verilog基础篇:verilog语言的操作符-CSDN博客

7,FPGA_Verilog基础篇:verilog基本逻辑运算-CSDN博客

8,FPGA_Verilog基础篇:verilog关系操作的逻辑运算实现-CSDN博客

9,FPGA_Verilog基础篇:veriolg算术运算-CSDN博客

10,FPGA_Verilog基础篇:verilog移位操作-CSDN博客

11,FPGA_Verilog基础篇:关系操作符简介-CSDN博客

12,FPGA_Verilog基础篇:拼接运算符简介-CSDN博客

13,FPGA_Verilog基础篇:verilog数值的位宽扩展规则-CSDN博客

14,FPGA_Verilog基础篇:verilog移位与拼接实现-CSDN博客

15,FPGA_Verilog基础篇:verilog双向inout接口表示_fpga inout端口-CSDN博客

16,FPGA_Verilog基础篇:verilog之锁存器和触发器-CSDN博客

17,FPGA_Verilog基础篇:verilog之for循环-CSDN博客

18,FPGA_Verilog基础篇:verilog之函数用法-CSDN博客

19,FPGA_Verilog基础篇:verilog之任务用法-CSDN博客

20,FPGA_Verilog基础篇:verilog之任务与函数用法比较-CSDN博客

21,FPGA_Verilog基础篇:verilog之宏define介绍-CSDN博客

22,FPGA_Verilog基础篇:verilog之条件编译指令介绍-CSDN博客

23,FPGA_Verilog基础篇:verilog之参数parameter介绍-CSDN博客

24,FPGA_Verilog基础篇:verilog之本地参数localparam-CSDN博客

25,FPGA_Verilog基础篇:verilog之generate生成块-CSDN博客

26,FPGA_Verilog基础篇:verilog之常数规则-CSDN博客

27,FPGA_Verilog基础篇:verilog中整数运算的位宽和符号规则-CSDN博客

28,FPGA_Verilog基础篇:verilog中的字符串表示-CSDN博客

29,FPGA_Verilog基础篇:verilog中带整数的算术表达式分析-CSDN博客

30(结束篇),FPGA_Verilog基础篇:verilog中的数值运算规则总结-CSDN博客

///


Verilog是一种硬件描述语言(Hardware Description language,HDL),更确切的说是一种以文本形式描述数字系统硬件结构和行为的语言。

它的发展历史如下:

1983年,GDA公司的Philip Moorby首创veriog语言。Moorby后来成为verilog HDL-XL的主要设计者和cadence公司的第一合伙人。

1984年,Moorby设计出第一个用于verilog仿真的EDA工具。

1986年,Moorby提出用于快速门级仿真的XL算法。随着verilog-XL的成功,verilog得到迅速发展。

1987年,synopsys公司开始把verilog作为综合工具的输入语言。

1989年,cadence公司收购GDA公司,verilog成为cadence公司的私有财产。

1990年,cadence公司公开发布verilog。随后成立的OVI(Open Verilog HDL International)负责verilog的发展及标准的制定。

1993年,几乎所有的ASIC厂商都开始支持verilog,并且认为verilog-XL是最好的仿真器。同时,OVI推出verilog-2.0规范,并把它提交给IEEE。

1995年,IEEE发布verilog的第1个国际标准IEEE1364-1995,该标准共676页。

图1:verilog-1995标准首页(IEEE1364-1995)

2001年,IEEE发布verilog的第2个国际标准IEEE1364-2001,增加了一些新的语言特性,但是验证能力和建模能力依然较弱,该标准共879页。

图2:verilog-2001标准首页(IEEE1364-2001)

2005年,IEEE发布verilog的标准IEEE1364-2005,只对2001版做了小的修订和删减,该标准共590页。

图3:verilog-2005标准首页(IEEE1364-2005)

2005年,IEEE发布SystemVerilog的标准IEEE1800-2005,极大的提高了验证能力和建模能力,为SystemVerilog的第一代标准。

2009年,IEEE发布SystemVerilog的标准IEEE1800-2009,它把SystemVerilog和verilog合并到一个标准中,该标准共1285页。

图4:SystemVerilog-2009标准首页(IEEE1800-2009)

2012年,IEEE发布SystemVerilog的标准IEEE1800-2012,该标准共1315页。

图5:SystemVerilog-2012标准首页(IEEE1800-2012)

2017年,IEEE发布SystemVerilog的标准IEEE1800-2017,该标准共1315页。

图6:SystemVerilog-2017标准首页(IEEE1800-2017)

总之,verilog在第3版即verilog-2005后就不再更新标准了,转而进化成了SystemVerilog。目前SystemVerilog-2017为最新的SystemVerilog标准,而多数小伙伴们使用的verilog语言都是基于1995或2001标准。

小伙伴们也不要嫌弃verilog标准太老,c/c++都几十年了不一样用的很广泛么~

俗话说“工欲善其事必先利其器”,我们关键还是要将verilog学好并融会贯通,提高实际的FPGA设计和开发水平!

点赞加关注博主(ID:FPGA小飞)的博文,咱们一起学习、一起进步吧~

  • 5
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值